From 4a510e08e4fc2561f63b363a1b64dbae89bea069 Mon Sep 17 00:00:00 2001
From: Lauris BH <lauris@nix.lv>
Date: Sat, 5 Dec 2020 20:31:18 +0200
Subject: [PATCH] Update go-enry dependency to v2.6.0 (#13861)

---
 go.mod                                        |     2 +-
 go.sum                                        |     4 +-
 .../github.com/go-enry/go-enry/v2/README.md   |    10 +-
 .../github.com/go-enry/go-enry/v2/common.go   |    61 +-
 .../go-enry/go-enry/v2/data/alias.go          |    40 +-
 .../go-enry/go-enry/v2/data/colors.go         |    57 +-
 .../go-enry/go-enry/v2/data/commit.go         |     4 +-
 .../go-enry/go-enry/v2/data/content.go        |   133 +-
 .../go-enry/go-enry/v2/data/documentation.go  |     2 +-
 .../go-enry/go-enry/v2/data/extension.go      |   116 +-
 .../go-enry/go-enry/v2/data/filename.go       |    16 +-
 .../go-enry/go-enry/v2/data/frequencies.go    | 57681 +++++++++-------
 .../go-enry/go-enry/v2/data/groups.go         |    21 +-
 .../go-enry/go-enry/v2/data/interpreter.go    |     9 +-
 .../go-enry/go-enry/v2/data/mimeType.go       |    13 +-
 .../go-enry/go-enry/v2/data/type.go           |    25 +-
 .../go-enry/go-enry/v2/data/vendor.go         |   126 +-
 vendor/modules.txt                            |     2 +-
 18 files changed, 32345 insertions(+), 25977 deletions(-)

diff --git a/go.mod b/go.mod
index 36922b68c3..5810e1b479 100644
--- a/go.mod
+++ b/go.mod
@@ -40,7 +40,7 @@ require (
 	github.com/gliderlabs/ssh v0.3.1
 	github.com/glycerine/go-unsnap-stream v0.0.0-20190901134440-81cf024a9e0a // indirect
 	github.com/go-chi/chi v1.5.0
-	github.com/go-enry/go-enry/v2 v2.5.2
+	github.com/go-enry/go-enry/v2 v2.6.0
 	github.com/go-git/go-billy/v5 v5.0.0
 	github.com/go-git/go-git/v5 v5.2.0
 	github.com/go-ldap/ldap/v3 v3.2.4
diff --git a/go.sum b/go.sum
index 812d2a769b..180fb06726 100644
--- a/go.sum
+++ b/go.sum
@@ -327,8 +327,8 @@ github.com/go-asn1-ber/asn1-ber v1.5.1 h1:pDbRAunXzIUXfx4CB2QJFv5IuPiuoW+sWvr/Us
 github.com/go-asn1-ber/asn1-ber v1.5.1/go.mod h1:hEBeB/ic+5LoWskz+yKT7vGhhPYkProFKoKdwZRWMe0=
 github.com/go-chi/chi v1.5.0 h1:2ZcJZozJ+rj6BA0c19ffBUGXEKAT/aOLOtQjD46vBRA=
 github.com/go-chi/chi v1.5.0/go.mod h1:REp24E+25iKvxgeTfHmdUoL5x15kBiDBlnIl5bCwe2k=
-github.com/go-enry/go-enry/v2 v2.5.2 h1:3f3PFAO6JitWkPi1GQ5/m6Xu4gNL1U5soJ8QaYqJ0YQ=
-github.com/go-enry/go-enry/v2 v2.5.2/go.mod h1:GVzIiAytiS5uT/QiuakK7TF1u4xDab87Y8V5EJRpsIQ=
+github.com/go-enry/go-enry/v2 v2.6.0 h1:nbGWQBpO+D+cJuRxNgSDFnFY9QWz3QM/CeZxU7VAH20=
+github.com/go-enry/go-enry/v2 v2.6.0/go.mod h1:GVzIiAytiS5uT/QiuakK7TF1u4xDab87Y8V5EJRpsIQ=
 github.com/go-enry/go-oniguruma v1.2.1 h1:k8aAMuJfMrqm/56SG2lV9Cfti6tC4x8673aHCcBk+eo=
 github.com/go-enry/go-oniguruma v1.2.1/go.mod h1:bWDhYP+S6xZQgiRL7wlTScFYBe023B6ilRZbCAD5Hf4=
 github.com/go-git/gcfg v1.5.0 h1:Q5ViNfGF8zFgyJWPqYwA7qGFoMTEiBmdlkcfRmpIMa4=
diff --git a/vendor/github.com/go-enry/go-enry/v2/README.md b/vendor/github.com/go-enry/go-enry/v2/README.md
index b7ff66c4ad..03f8bac49e 100644
--- a/vendor/github.com/go-enry/go-enry/v2/README.md
+++ b/vendor/github.com/go-enry/go-enry/v2/README.md
@@ -150,16 +150,22 @@ macOS and linux platforms. Windows support is planned under [src-d/enry#150](htt
 
 ## Divergences from Linguist
 
-The `enry` library is based on the data from `github/linguist` version **v7.9.0**.
+The `enry` library is based on the data from `github/linguist` version **v7.12.1**.
 
 Parsing [linguist/samples](https://github.com/github/linguist/tree/master/samples) the following `enry` results are different from the Linguist:
 
+- [Heuristics for ".txt" extension](https://github.com/github/linguist/blob/8083cb5a89cee2d99f5a988f165994d0243f0d1e/lib/linguist/heuristics.yml#L521) in Vim Help File could not be parsed, due to unsupported negative lookahead in RE2 regexp engine.
+
+- [Heuristics for ".sol" extension](https://github.com/github/linguist/blob/8083cb5a89cee2d99f5a988f165994d0243f0d1e/lib/linguist/heuristics.yml#L464) in Solidity could not be parsed, due to unsupported negative lookahead in RE2 regexp engine.
+
 - [Heuristics for ".es" extension](https://github.com/github/linguist/blob/e761f9b013e5b61161481fcb898b59721ee40e3d/lib/linguist/heuristics.yml#L103) in JavaScript could not be parsed, due to unsupported backreference in RE2 regexp engine.
 
 - [Heuristics for ".rno" extension](https://github.com/github/linguist/blob/3a1bd3c3d3e741a8aaec4704f782e06f5cd2a00d/lib/linguist/heuristics.yml#L365) in RUNOFF could not be parsed, due to unsupported lookahead in RE2 regexp engine.
 
 - [Heuristics for ".inc" extension](https://github.com/github/linguist/blob/f0e2d0d7f1ce600b2a5acccaef6b149c87d8b99c/lib/linguist/heuristics.yml#L222) in NASL could not be parsed, due to unsupported possessive quantifier in RE2 regexp engine.
 
+- [Heuristics for ".as" extension](https://github.com/github/linguist/blob/223c00bb80eff04788e29010f98c5778993d2b2a/lib/linguist/heuristics.yml#L67) in ActionScript could not be parsed, due to unsupported positive lookahead in RE2 regexp engine.
+
 - As of [Linguist v5.3.2](https://github.com/github/linguist/releases/tag/v5.3.2) it is using [flex-based scanner in C for tokenization](https://github.com/github/linguist/pull/3846). Enry still uses [extract_token](https://github.com/github/linguist/pull/3846/files#diff-d5179df0b71620e3fac4535cd1368d15L60) regex-based algorithm. See [#193](https://github.com/src-d/enry/issues/193).
 
 - Bayesian classifier can't distinguish "SQL" from "PLpgSQL. See [#194](https://github.com/src-d/enry/issues/194).
@@ -167,8 +173,6 @@ Parsing [linguist/samples](https://github.com/github/linguist/tree/master/sample
 - Detection of [generated files](https://github.com/github/linguist/blob/bf95666fc15e49d556f2def4d0a85338423c25f3/lib/linguist/generated.rb#L53) is not supported yet.
   (Thus they are not excluded from CLI output). See [#213](https://github.com/src-d/enry/issues/213).
 
-- XML detection strategy is not implemented. See [#192](https://github.com/src-d/enry/issues/192).
-
 - Overriding languages and types though `.gitattributes` is not yet supported. See [#18](https://github.com/src-d/enry/issues/18).
 
 - `enry` CLI output does NOT exclude `.gitignore`ed files and git submodules, as Linguist does
diff --git a/vendor/github.com/go-enry/go-enry/v2/common.go b/vendor/github.com/go-enry/go-enry/v2/common.go
index 723bc48368..4764cf763b 100644
--- a/vendor/github.com/go-enry/go-enry/v2/common.go
+++ b/vendor/github.com/go-enry/go-enry/v2/common.go
@@ -22,6 +22,8 @@ var DefaultStrategies = []Strategy{
 	GetLanguagesByFilename,
 	GetLanguagesByShebang,
 	GetLanguagesByExtension,
+	GetLanguagesByXML,
+	GetLanguagesByManpage,
 	GetLanguagesByContent,
 	GetLanguagesByClassifier,
 }
@@ -328,15 +330,23 @@ func getInterpreter(data []byte) (interpreter string) {
 	return
 }
 
-func getFirstLine(content []byte) []byte {
-	nlpos := bytes.IndexByte(content, '\n')
-	if nlpos < 0 {
-		return content
+func getFirstLines(content []byte, count int) []byte {
+	nlpos := -1
+	for ; count > 0; count-- {
+		pos := bytes.IndexByte(content[nlpos+1:], '\n')
+		if pos < 0 {
+			return content
+		}
+		nlpos += pos + 1
 	}
 
 	return content[:nlpos]
 }
 
+func getFirstLine(content []byte) []byte {
+	return getFirstLines(content, 1)
+}
+
 func hasShebang(line []byte) bool {
 	const shebang = `#!`
 	prefix := []byte(shebang)
@@ -383,6 +393,49 @@ func GetLanguagesByExtension(filename string, _ []byte, _ []string) []string {
 	return nil
 }
 
+var (
+	manpageExtension = regex.MustCompile(`\.(?:[1-9](?:[a-z_]+[a-z_0-9]*)?|0p|n|man|mdoc)(?:\.in)?$`)
+)
+
+// GetLanguagesByManpage returns a slice of possible manpage languages for the given filename.
+// It complies with the signature to be a Strategy type.
+func GetLanguagesByManpage(filename string, _ []byte, _ []string) []string {
+	filename = strings.ToLower(filename)
+
+	// Check if matches Roff man page filenames
+	if manpageExtension.Match([]byte(filename)) {
+		return []string{
+			"Roff Manpage",
+			"Roff",
+		}
+	}
+
+	return nil
+}
+
+var (
+	xmlHeader = regex.MustCompile(`<?xml version=`)
+)
+
+// GetLanguagesByXML returns a slice of possible XML language for the given filename.
+// It complies with the signature to be a Strategy type.
+func GetLanguagesByXML(_ string, content []byte, candidates []string) []string {
+	if len(candidates) > 0 {
+		return candidates
+	}
+
+	header := getFirstLines(content, 2)
+
+	// Check if contains XML header
+	if xmlHeader.Match(header) {
+		return []string{
+			"XML",
+		}
+	}
+
+	return nil
+}
+
 func getDotIndexes(filename string) []int {
 	dots := make([]int, 0, 2)
 	for i, letter := range filename {
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/alias.go b/vendor/github.com/go-enry/go-enry/v2/data/alias.go
index baa93446aa..16a1af1bae 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/alias.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/alias.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -31,6 +31,7 @@ var LanguageByAliasMap = map[string]string{
 	"ags":                                "AGS Script",
 	"ags_script":                         "AGS Script",
 	"ahk":                                "AutoHotkey",
+	"al":                                 "AL",
 	"alloy":                              "Alloy",
 	"alpine_abuild":                      "Alpine Abuild",
 	"altium":                             "Altium Designer",
@@ -53,12 +54,14 @@ var LanguageByAliasMap = map[string]string{
 	"arexx":                              "REXX",
 	"as3":                                "ActionScript",
 	"asciidoc":                           "AsciiDoc",
+	"asl":                                "ASL",
 	"asm":                                "Assembly",
 	"asn.1":                              "ASN.1",
-	"asp":                                "ASP",
+	"asp":                                "Classic ASP",
+	"asp.net":                            "ASP.NET",
 	"aspectj":                            "AspectJ",
-	"aspx":                               "ASP",
-	"aspx-vb":                            "ASP",
+	"aspx":                               "ASP.NET",
+	"aspx-vb":                            "ASP.NET",
 	"assembly":                           "Assembly",
 	"asymptote":                          "Asymptote",
 	"ats":                                "ATS",
@@ -70,6 +73,7 @@ var LanguageByAliasMap = map[string]string{
 	"autoit":                             "AutoIt",
 	"autoit3":                            "AutoIt",
 	"autoitscript":                       "AutoIt",
+	"avro_idl":                           "Avro IDL",
 	"awk":                                "Awk",
 	"b3d":                                "BlitzBasic",
 	"ballerina":                          "Ballerina",
@@ -120,6 +124,7 @@ var LanguageByAliasMap = map[string]string{
 	"chuck":                              "ChucK",
 	"cirru":                              "Cirru",
 	"clarion":                            "Clarion",
+	"classic_asp":                        "Classic ASP",
 	"clean":                              "Clean",
 	"click":                              "Click",
 	"clipper":                            "xBase",
@@ -172,6 +177,7 @@ var LanguageByAliasMap = map[string]string{
 	"cython":                             "Cython",
 	"d":                                  "D",
 	"d-objdump":                          "D-ObjDump",
+	"dafny":                              "Dafny",
 	"darcs_patch":                        "Darcs Patch",
 	"dart":                               "Dart",
 	"dataweave":                          "DataWeave",
@@ -238,6 +244,7 @@ var LanguageByAliasMap = map[string]string{
 	"formatted":                          "Formatted",
 	"forth":                              "Forth",
 	"fortran":                            "Fortran",
+	"fortran_free_form":                  "Fortran Free Form",
 	"foxpro":                             "xBase",
 	"freemarker":                         "FreeMarker",
 	"frege":                              "Frege",
@@ -245,6 +252,7 @@ var LanguageByAliasMap = map[string]string{
 	"fstar":                              "F*",
 	"ftl":                                "FreeMarker",
 	"fundamental":                        "Text",
+	"futhark":                            "Futhark",
 	"g-code":                             "G-code",
 	"game_maker_language":                "Game Maker Language",
 	"gaml":                               "GAML",
@@ -253,6 +261,7 @@ var LanguageByAliasMap = map[string]string{
 	"gcc_machine_description":            "GCC Machine Description",
 	"gdb":                                "GDB",
 	"gdscript":                           "GDScript",
+	"gedcom":                             "GEDCOM",
 	"genie":                              "Genie",
 	"genshi":                             "Genshi",
 	"gentoo_ebuild":                      "Gentoo Ebuild",
@@ -308,7 +317,7 @@ var LanguageByAliasMap = map[string]string{
 	"html+jinja":                         "HTML+Django",
 	"html+php":                           "HTML+PHP",
 	"html+razor":                         "HTML+Razor",
-	"html+ruby":                          "RHTML",
+	"html+ruby":                          "HTML+ERB",
 	"htmlbars":                           "Handlebars",
 	"htmldjango":                         "HTML+Django",
 	"http":                               "HTTP",
@@ -363,18 +372,22 @@ var LanguageByAliasMap = map[string]string{
 	"jsx":                                "JSX",
 	"julia":                              "Julia",
 	"jupyter_notebook":                   "Jupyter Notebook",
+	"kaitai_struct":                      "Kaitai Struct",
 	"kicad_layout":                       "KiCad Layout",
 	"kicad_legacy_layout":                "KiCad Legacy Layout",
 	"kicad_schematic":                    "KiCad Schematic",
 	"kit":                                "Kit",
 	"kotlin":                             "Kotlin",
 	"krl":                                "KRL",
+	"ksy":                                "Kaitai Struct",
 	"labview":                            "LabVIEW",
+	"lark":                               "Lark",
 	"lasso":                              "Lasso",
 	"lassoscript":                        "Lasso",
 	"latex":                              "TeX",
 	"latte":                              "Latte",
 	"lean":                               "Lean",
+	"leex":                               "HTML+EEX",
 	"less":                               "Less",
 	"lex":                                "Lex",
 	"lfe":                                "LFE",
@@ -403,9 +416,11 @@ var LanguageByAliasMap = map[string]string{
 	"ltspice_symbol":                     "LTspice Symbol",
 	"lua":                                "Lua",
 	"m":                                  "M",
+	"m2":                                 "Macaulay2",
 	"m4":                                 "M4",
 	"m4sugar":                            "M4Sugar",
 	"m68k":                               "Motorola 68K Assembly",
+	"macaulay2":                          "Macaulay2",
 	"macruby":                            "Ruby",
 	"make":                               "Makefile",
 	"makefile":                           "Makefile",
@@ -453,18 +468,22 @@ var LanguageByAliasMap = map[string]string{
 	"mumps":                              "M",
 	"mupad":                              "mupad",
 	"muse":                               "Muse",
+	"mustache":                           "Mustache",
 	"myghty":                             "Myghty",
 	"nanorc":                             "nanorc",
 	"nasl":                               "NASL",
 	"nasm":                               "Assembly",
 	"ncl":                                "NCL",
+	"ne-on":                              "NEON",
 	"nearley":                            "Nearley",
 	"nemerle":                            "Nemerle",
+	"neon":                               "NEON",
 	"neosnippet":                         "Vim Snippet",
 	"nesc":                               "nesC",
 	"netlinx":                            "NetLinx",
 	"netlinx+erb":                        "NetLinx+ERB",
 	"netlogo":                            "NetLogo",
+	"nette_object_notation":              "NEON",
 	"newlisp":                            "NewLisp",
 	"nextflow":                           "Nextflow",
 	"nginx":                              "Nginx",
@@ -486,6 +505,7 @@ var LanguageByAliasMap = map[string]string{
 	"nunjucks":                           "HTML+Django",
 	"nush":                               "Nu",
 	"nvim":                               "Vim script",
+	"nwscript":                           "NWScript",
 	"obj-c":                              "Objective-C",
 	"obj-c++":                            "Objective-C++",
 	"obj-j":                              "Objective-J",
@@ -589,9 +609,12 @@ var LanguageByAliasMap = map[string]string{
 	"python_console":                     "Python console",
 	"python_traceback":                   "Python traceback",
 	"q":                                  "q",
+	"q#":                                 "Q#",
 	"ql":                                 "CodeQL",
 	"qmake":                              "QMake",
 	"qml":                                "QML",
+	"qsharp":                             "Q#",
+	"qt_script":                          "Qt Script",
 	"quake":                              "Quake",
 	"r":                                  "R",
 	"racket":                             "Racket",
@@ -622,9 +645,10 @@ var LanguageByAliasMap = map[string]string{
 	"ren'py":                             "Ren'Py",
 	"renderscript":                       "RenderScript",
 	"renpy":                              "Ren'Py",
+	"rescript":                           "ReScript",
 	"restructuredtext":                   "reStructuredText",
 	"rexx":                               "REXX",
-	"rhtml":                              "RHTML",
+	"rhtml":                              "HTML+ERB",
 	"rich_text_format":                   "Rich Text Format",
 	"ring":                               "Ring",
 	"riot":                               "Riot",
@@ -663,6 +687,7 @@ var LanguageByAliasMap = map[string]string{
 	"shell-script":                       "Shell",
 	"shellsession":                       "ShellSession",
 	"shen":                               "Shen",
+	"sieve":                              "Sieve",
 	"slash":                              "Slash",
 	"slice":                              "Slice",
 	"slim":                               "Slim",
@@ -720,6 +745,7 @@ var LanguageByAliasMap = map[string]string{
 	"troff":                              "Roff",
 	"ts":                                 "TypeScript",
 	"tsql":                               "TSQL",
+	"tsv":                                "TSV",
 	"tsx":                                "TSX",
 	"turing":                             "Turing",
 	"turtle":                             "Turtle",
@@ -750,8 +776,10 @@ var LanguageByAliasMap = map[string]string{
 	"verilog":                            "Verilog",
 	"vhdl":                               "VHDL",
 	"vim":                                "Vim script",
+	"vim_help_file":                      "Vim Help File",
 	"vim_script":                         "Vim script",
 	"vim_snippet":                        "Vim Snippet",
+	"vimhelp":                            "Vim Help File",
 	"viml":                               "Vim script",
 	"visual_basic":                       "Visual Basic .NET",
 	"visual_basic_.net":                  "Visual Basic .NET",
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/colors.go b/vendor/github.com/go-enry/go-enry/v2/data/colors.go
index 3e47ce1b3a..31351b771b 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/colors.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/colors.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -7,42 +7,50 @@ var LanguagesColor = map[string]string{
 	"1C Enterprise":            "#814CCC",
 	"ABAP":                     "#E8274B",
 	"AGS Script":               "#B9D9FF",
+	"AL":                       "#3AA2B5",
 	"AMPL":                     "#E6EFBB",
 	"ANTLR":                    "#9DC3FF",
 	"API Blueprint":            "#2ACCA8",
 	"APL":                      "#5A8164",
-	"ASP":                      "#6a40fd",
+	"ASP.NET":                  "#9400ff",
 	"ATS":                      "#1ac620",
 	"ActionScript":             "#882B0F",
 	"Ada":                      "#02f88c",
 	"Agda":                     "#315665",
 	"Alloy":                    "#64C800",
 	"AngelScript":              "#C7D7DC",
+	"Apex":                     "#1797c0",
+	"Apollo Guidance Computer": "#0B3D91",
 	"AppleScript":              "#101F1F",
 	"Arc":                      "#aa2afe",
 	"AspectJ":                  "#a957b0",
 	"Assembly":                 "#6E4C13",
-	"Asymptote":                "#4a0c0c",
+	"Asymptote":                "#ff0000",
 	"AutoHotkey":               "#6594b9",
 	"AutoIt":                   "#1C3552",
 	"Ballerina":                "#FF5000",
 	"Batchfile":                "#C1F12E",
+	"Bison":                    "#6A463F",
+	"Blade":                    "#f7523f",
 	"BlitzMax":                 "#cd6400",
 	"Boo":                      "#d4bec1",
 	"Brainfuck":                "#2F2530",
 	"C":                        "#555555",
 	"C#":                       "#178600",
 	"C++":                      "#f34b7d",
+	"CSON":                     "#244776",
 	"CSS":                      "#563d7c",
 	"Ceylon":                   "#dfa535",
 	"Chapel":                   "#8dc63f",
 	"Cirru":                    "#ccccff",
 	"Clarion":                  "#db901e",
+	"Classic ASP":              "#6a40fd",
 	"Clean":                    "#3F85AF",
 	"Click":                    "#E4E6F3",
 	"Clojure":                  "#db5855",
 	"CoffeeScript":             "#244776",
 	"ColdFusion":               "#ed2cd6",
+	"ColdFusion CFC":           "#ed2cd6",
 	"Common Lisp":              "#3fb68b",
 	"Common Workflow Language": "#B5314C",
 	"Component Pascal":         "#B0CE4E",
@@ -50,6 +58,7 @@ var LanguagesColor = map[string]string{
 	"Cuda":                     "#3A4E3A",
 	"D":                        "#ba595e",
 	"DM":                       "#447265",
+	"Dafny":                    "#FFEC25",
 	"Dart":                     "#00B4AB",
 	"DataWeave":                "#003a52",
 	"Dhall":                    "#dfafff",
@@ -58,8 +67,9 @@ var LanguagesColor = map[string]string{
 	"Dylan":                    "#6c616e",
 	"E":                        "#ccce35",
 	"ECL":                      "#8a1267",
+	"EJS":                      "#a91e50",
 	"EQ":                       "#a78649",
-	"Eiffel":                   "#946d57",
+	"Eiffel":                   "#4d6977",
 	"Elixir":                   "#6e4a7e",
 	"Elm":                      "#60B5CC",
 	"Emacs Lisp":               "#c065db",
@@ -76,6 +86,7 @@ var LanguagesColor = map[string]string{
 	"Fortran":                  "#4d41b1",
 	"FreeMarker":               "#0050b2",
 	"Frege":                    "#00cafe",
+	"Futhark":                  "#5f021f",
 	"G-code":                   "#D08CF2",
 	"GAML":                     "#FFC766",
 	"GDScript":                 "#355570",
@@ -87,10 +98,13 @@ var LanguagesColor = map[string]string{
 	"Go":                       "#00ADD8",
 	"Golo":                     "#88562A",
 	"Gosu":                     "#82937f",
-	"Grammatical Framework":    "#79aa7a",
+	"Grammatical Framework":    "#ff0000",
+	"GraphQL":                  "#e10098",
 	"Groovy":                   "#e69f56",
 	"HTML":                     "#e34c26",
 	"Hack":                     "#878787",
+	"Haml":                     "#ece2a9",
+	"Handlebars":               "#f7931e",
 	"Harbour":                  "#0e60e3",
 	"Haskell":                  "#5e5086",
 	"Haxe":                     "#df7900",
@@ -104,6 +118,7 @@ var LanguagesColor = map[string]string{
 	"Ioke":                     "#078193",
 	"Isabelle":                 "#FEFE00",
 	"J":                        "#9EEDFF",
+	"JFlex":                    "#DBCA00",
 	"JSONiq":                   "#40d47e",
 	"Java":                     "#b07219",
 	"JavaScript":               "#f1e05a",
@@ -112,12 +127,16 @@ var LanguagesColor = map[string]string{
 	"Julia":                    "#a270ba",
 	"Jupyter Notebook":         "#DA5B0B",
 	"KRL":                      "#28430A",
+	"Kaitai Struct":            "#773b37",
 	"Kotlin":                   "#F18E33",
 	"LFE":                      "#4C3023",
 	"LLVM":                     "#185619",
 	"LOLCODE":                  "#cc9900",
 	"LSL":                      "#3d9970",
+	"Lark":                     "#0b130f",
 	"Lasso":                    "#999999",
+	"Latte":                    "#f2a542",
+	"Less":                     "#1d365d",
 	"Lex":                      "#DBCA00",
 	"LiveScript":               "#499886",
 	"LookML":                   "#652B81",
@@ -128,7 +147,10 @@ var LanguagesColor = map[string]string{
 	"MQL4":                     "#62A8D6",
 	"MQL5":                     "#4A76B8",
 	"MTML":                     "#b7e1f4",
+	"Macaulay2":                "#d8ffff",
 	"Makefile":                 "#427819",
+	"Markdown":                 "#083fa1",
+	"Marko":                    "#42bff2",
 	"Mask":                     "#f97732",
 	"Max":                      "#c4a79c",
 	"Mercury":                  "#ff2b2b",
@@ -137,6 +159,7 @@ var LanguagesColor = map[string]string{
 	"Mirah":                    "#c7a938",
 	"Modula-3":                 "#223388",
 	"NCL":                      "#28431f",
+	"NWScript":                 "#111522",
 	"Nearley":                  "#990000",
 	"Nemerle":                  "#3d3c6e",
 	"NetLinx":                  "#0aa0ff",
@@ -144,10 +167,11 @@ var LanguagesColor = map[string]string{
 	"NetLogo":                  "#ff6375",
 	"NewLisp":                  "#87AED7",
 	"Nextflow":                 "#3ac486",
-	"Nim":                      "#37775b",
+	"Nim":                      "#ffc200",
 	"Nit":                      "#009917",
 	"Nix":                      "#7e7eff",
 	"Nu":                       "#c9df40",
+	"NumPy":                    "#9C8AF9",
 	"OCaml":                    "#3be133",
 	"ObjectScript":             "#424893",
 	"Objective-C":              "#438eff",
@@ -175,14 +199,18 @@ var LanguagesColor = map[string]string{
 	"PostScript":               "#da291c",
 	"PowerBuilder":             "#8f0f8d",
 	"PowerShell":               "#012456",
+	"Prisma":                   "#0c344b",
 	"Processing":               "#0096D8",
 	"Prolog":                   "#74283c",
 	"Propeller Spin":           "#7fa2a7",
+	"Pug":                      "#a86454",
 	"Puppet":                   "#302B6D",
 	"PureBasic":                "#5a6986",
 	"PureScript":               "#1D222D",
 	"Python":                   "#3572A5",
+	"Q#":                       "#fed659",
 	"QML":                      "#44a51c",
+	"Qt Script":                "#00b841",
 	"Quake":                    "#882233",
 	"R":                        "#198CE7",
 	"RAML":                     "#77d9fb",
@@ -191,6 +219,7 @@ var LanguagesColor = map[string]string{
 	"Ragel":                    "#9d5200",
 	"Raku":                     "#0000fb",
 	"Rascal":                   "#fffaa0",
+	"ReScript":                 "#ed5051",
 	"Reason":                   "#ff5847",
 	"Rebol":                    "#358a5b",
 	"Red":                      "#f50000",
@@ -202,9 +231,12 @@ var LanguagesColor = map[string]string{
 	"Ruby":                     "#701516",
 	"Rust":                     "#dea584",
 	"SAS":                      "#B34936",
+	"SCSS":                     "#c6538c",
 	"SQF":                      "#3F3F3F",
 	"SRecode Template":         "#348a34",
+	"SVG":                      "#ff9900",
 	"SaltStack":                "#646464",
+	"Sass":                     "#a53b70",
 	"Scala":                    "#c22d40",
 	"Scheme":                   "#1e4aec",
 	"Self":                     "#0579aa",
@@ -212,15 +244,18 @@ var LanguagesColor = map[string]string{
 	"Shen":                     "#120F14",
 	"Slash":                    "#007eff",
 	"Slice":                    "#003fa2",
+	"Slim":                     "#2b2b2b",
 	"SmPL":                     "#c94949",
 	"Smalltalk":                "#596706",
 	"Solidity":                 "#AA6746",
-	"SourcePawn":               "#5c7611",
+	"SourcePawn":               "#f69e1d",
 	"Squirrel":                 "#800000",
 	"Stan":                     "#b2011d",
 	"Standard ML":              "#dc566d",
 	"Starlark":                 "#76d275",
+	"Stylus":                   "#ff6347",
 	"SuperCollider":            "#46390b",
+	"Svelte":                   "#ff3e00",
 	"Swift":                    "#ffac45",
 	"SystemVerilog":            "#DAE1C2",
 	"TI Program":               "#A0AA87",
@@ -228,9 +263,12 @@ var LanguagesColor = map[string]string{
 	"TeX":                      "#3D6117",
 	"Terra":                    "#00004c",
 	"Turing":                   "#cf142b",
+	"Twig":                     "#c1d026",
 	"TypeScript":               "#2b7489",
+	"Unified Parallel C":       "#4e3617",
+	"Uno":                      "#9933cc",
 	"UnrealScript":             "#a54c4d",
-	"V":                        "#5d87bd",
+	"V":                        "#4f87c4",
 	"VBA":                      "#867db1",
 	"VBScript":                 "#15dcdc",
 	"VCL":                      "#148AA8",
@@ -247,6 +285,7 @@ var LanguagesColor = map[string]string{
 	"XC":                       "#99DA07",
 	"XQuery":                   "#5232e7",
 	"XSLT":                     "#EB8CEB",
+	"YAML":                     "#cb171e",
 	"YARA":                     "#220000",
 	"YASnippet":                "#32AB90",
 	"Yacc":                     "#4B6C4B",
@@ -256,7 +295,7 @@ var LanguagesColor = map[string]string{
 	"Zephir":                   "#118f9e",
 	"Zig":                      "#ec915c",
 	"eC":                       "#913960",
-	"mIRC Script":              "#926059",
+	"mIRC Script":              "#3d57c3",
 	"mcfunction":               "#E22837",
 	"nesC":                     "#94B0C7",
 	"ooc":                      "#b0b77e",
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/commit.go b/vendor/github.com/go-enry/go-enry/v2/data/commit.go
index abe581387c..5d3b4e54eb 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/commit.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/commit.go
@@ -1,7 +1,7 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
 // linguist's commit from which files were generated.
-var LinguistCommit = "40992ba7f86889f80dfed3ba95e11e1082200bad"
+var LinguistCommit = "223c00bb80eff04788e29010f98c5778993d2b2a"
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/content.go b/vendor/github.com/go-enry/go-enry/v2/data/content.go
index 8f62f98f24..bd03e6f074 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/content.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/content.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -37,8 +37,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".1in": &Heuristics{
@@ -161,8 +162,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".3": &Heuristics{
@@ -192,8 +194,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".3in": &Heuristics{
@@ -409,8 +412,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".5": &Heuristics{
@@ -440,8 +444,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".6": &Heuristics{
@@ -471,8 +476,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".7": &Heuristics{
@@ -502,8 +508,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".8": &Heuristics{
@@ -533,8 +540,9 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
+		rule.Or(
 			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
 	".9": &Heuristics{
@@ -564,19 +572,24 @@ var ContentHeuristics = map[string]*Heuristics{
 				regexp.MustCompile(`(?m)^[.'][ \t]*SH +(?:[^"\s]+|"[^"\s]+)`),
 			),
 		),
-		rule.Always(
-			rule.MatchingLanguages("Roff"),
-		),
-	},
-	".as": &Heuristics{
 		rule.Or(
-			rule.MatchingLanguages("ActionScript"),
-			regexp.MustCompile(`(?m)^\s*(package\s+[a-z0-9_\.]+|import\s+[a-zA-Z0-9_\.]+;|class\s+[A-Za-z0-9_]+\s+extends\s+[A-Za-z0-9_]+)`),
-		),
-		rule.Always(
-			rule.MatchingLanguages("AngelScript"),
+			rule.MatchingLanguages("Roff"),
+			regexp.MustCompile(`(?m)^\.(?:[A-Za-z]{2}(?:\s|$)|\\")`),
 		),
 	},
+	".al": &Heuristics{
+		rule.And(
+			rule.MatchingLanguages("AL"),
+			rule.Or(
+				rule.MatchingLanguages(""),
+				regexp.MustCompile(`(?m)\b(?i:(CODEUNIT|PAGE|PAGEEXTENSION|PAGECUSTOMIZATION|DOTNET|ENUM|ENUMEXTENSION|VALUE|QUERY|REPORT|TABLE|TABLEEXTENSION|XMLPORT|PROFILE|CONTROLADDIN))\b`),
+			),
+		),
+		rule.Always(
+			rule.MatchingLanguages("Perl"),
+		),
+	},
+	".as": &Heuristics{},
 	".asc": &Heuristics{
 		rule.Or(
 			rule.MatchingLanguages("Public Key"),
@@ -621,9 +634,6 @@ var ContentHeuristics = map[string]*Heuristics{
 			rule.MatchingLanguages("XML"),
 			regexp.MustCompile(`(?m)^(\s*)(?i:<Project|<Import|<Property|<?xml|xmlns)`),
 		),
-		rule.Always(
-			rule.MatchingLanguages("Text"),
-		),
 	},
 	".ch": &Heuristics{
 		rule.Or(
@@ -794,7 +804,11 @@ var ContentHeuristics = map[string]*Heuristics{
 		),
 		rule.Or(
 			rule.MatchingLanguages("Gosu"),
-			regexp.MustCompile(`(?m)^uses java\.`),
+			regexp.MustCompile(`(?m)^uses (java|gw)\.`),
+		),
+		rule.Or(
+			rule.MatchingLanguages("Genie"),
+			regexp.MustCompile(`(?m)^\[indent=[0-9]+\]`),
 		),
 	},
 	".h": &Heuristics{
@@ -1233,16 +1247,6 @@ var ContentHeuristics = map[string]*Heuristics{
 			regexp.MustCompile(`(?m)^[^#!][^:]*:`),
 		),
 	},
-	".props": &Heuristics{
-		rule.Or(
-			rule.MatchingLanguages("XML"),
-			regexp.MustCompile(`(?m)^(\s*)(?i:<Project|<Import|<Property|<\?xml|xmlns)`),
-		),
-		rule.Or(
-			rule.MatchingLanguages("INI"),
-			regexp.MustCompile(`(?m)(?i:\w+\s*=\s*)`),
-		),
-	},
 	".q": &Heuristics{
 		rule.Or(
 			rule.MatchingLanguages("q"),
@@ -1253,6 +1257,16 @@ var ContentHeuristics = map[string]*Heuristics{
 			regexp.MustCompile(`(?m)(?i:SELECT\s+[\w*,]+\s+FROM|(CREATE|ALTER|DROP)\s(DATABASE|SCHEMA|TABLE))`),
 		),
 	},
+	".qs": &Heuristics{
+		rule.Or(
+			rule.MatchingLanguages("Q#"),
+			regexp.MustCompile(`(?m)^((\/{2,3})?\s*(namespace|operation)\b)`),
+		),
+		rule.Or(
+			rule.MatchingLanguages("Qt Script"),
+			regexp.MustCompile(`(?m)(\w+\.prototype\.\w+|===|\bvar\b)`),
+		),
+	},
 	".r": &Heuristics{
 		rule.Or(
 			rule.MatchingLanguages("Rebol"),
@@ -1263,6 +1277,22 @@ var ContentHeuristics = map[string]*Heuristics{
 			regexp.MustCompile(`(?m)<-|^\s*#`),
 		),
 	},
+	".re": &Heuristics{
+		rule.Or(
+			rule.MatchingLanguages("Reason"),
+			regexp.MustCompile(`(?m)^\s*module\s+type\s|^\s*(?:include|open)\s+\w+\s*;\s*$|^\s*let\s+(?:module\s\w+\s*=\s*{|\w+:\s+.*=.*;\s*$)`),
+		),
+		rule.Or(
+			rule.MatchingLanguages("C++"),
+			regexp.MustCompile(`(?m)^\s*#(?:(?:if|ifdef|define|pragma)\s+\w|\s*include\s+<[^>]+>)|^\s*template\s*<`),
+		),
+	},
+	".res": &Heuristics{
+		rule.Or(
+			rule.MatchingLanguages("ReScript"),
+			regexp.MustCompile(`(?m)^\s*(let|module|type)\s+\w*\s+=\s+|^\s*(?:include|open)\s+\w+\s*$`),
+		),
+	},
 	".rno": &Heuristics{
 		rule.Or(
 			rule.MatchingLanguages("Roff"),
@@ -1304,33 +1334,31 @@ var ContentHeuristics = map[string]*Heuristics{
 			regexp.MustCompile(`(?m)(^\s*import (scala|java)\.|^\s*class\b)`),
 		),
 	},
+	".sol": &Heuristics{
+		rule.Or(
+			rule.MatchingLanguages("Gerber Image"),
+			regexp.MustCompile(`(?m)^G75\*`),
+		),
+	},
 	".sql": &Heuristics{
 		rule.Or(
 			rule.MatchingLanguages("PLpgSQL"),
-			regexp.MustCompile(`(?m)(?i:^\\i\b|AS \$\$|LANGUAGE '?plpgsql'?|SECURITY (DEFINER|INVOKER)|BEGIN( WORK )?;)`),
+			regexp.MustCompile(`(?m)(?i:^\\i\b|AS\s+\$\$|LANGUAGE\s+'?plpgsql'?|BEGIN(\s+WORK)?\s*;)`),
 		),
 		rule.Or(
 			rule.MatchingLanguages("SQLPL"),
-			regexp.MustCompile(`(?m)(?i:(alter module)|(language sql)|(begin( NOT)+ atomic)|signal SQLSTATE '[0-9]+')`),
+			regexp.MustCompile(`(?m)(?i:ALTER\s+MODULE|MODE\s+DB2SQL|\bSYS(CAT|PROC)\.|ASSOCIATE\s+RESULT\s+SET|\bEND!\s*$)`),
 		),
 		rule.Or(
 			rule.MatchingLanguages("PLSQL"),
-			regexp.MustCompile(`(?m)(?i:\$\$PLSQL_|XMLTYPE|sysdate|systimestamp|\.nextval|connect by|AUTHID (DEFINER|CURRENT_USER)|constructor\W+function)`),
+			regexp.MustCompile(`(?m)(?i:\$\$PLSQL_|XMLTYPE|systimestamp|\.nextval|CONNECT\s+BY|AUTHID\s+(DEFINER|CURRENT_USER)|constructor\W+function)`),
 		),
-		rule.And(
+		rule.Or(
 			rule.MatchingLanguages("TSQL"),
-			rule.Not(
-				rule.MatchingLanguages(""),
-				regexp.MustCompile(`(?m)(?i:IDENTIFIED|NUMBER|VARCHAR2|REPEAT|UNTIL|IMMEDIATE)`),
-			),
-			rule.Or(
-				rule.MatchingLanguages(""),
-				regexp.MustCompile(`(?m)(?i:(GO)|(@@)|(CREATE PROCEDURE)|BEGIN( TRY| CATCH)|OUTPUT( INSERTED)|IF|ELSE|IIF|CHOOSE|CURSOR|FETCH|DEALLOCATE|DECLARE)`),
-			),
+			regexp.MustCompile(`(?m)(?i:^\s*GO\b|BEGIN(\s+TRY|\s+CATCH)|OUTPUT\s+INSERTED|DECLARE\s+@|\[dbo\])`),
 		),
-		rule.Not(
+		rule.Always(
 			rule.MatchingLanguages("SQL"),
-			regexp.MustCompile(`(?m)(?i:begin|boolean|package|exception)`),
 		),
 	},
 	".srt": &Heuristics{
@@ -1346,7 +1374,7 @@ var ContentHeuristics = map[string]*Heuristics{
 		),
 		rule.Or(
 			rule.MatchingLanguages("Raku"),
-			regexp.MustCompile(`(?m)^\s*(?:use\s+v6\b|\bmodule\b|\b(?:my\s+)?class\b)`),
+			regexp.MustCompile(`(?m)^\s*(?:use\s+v6\b|\bmodule\b|\bmy\s+class\b)`),
 		),
 		rule.Or(
 			rule.MatchingLanguages("Turing"),
@@ -1391,14 +1419,19 @@ var ContentHeuristics = map[string]*Heuristics{
 			regexp.MustCompile(`(?m)(?i:^\s*<\?xml\s+version)`),
 		),
 	},
+	".txt": &Heuristics{
+		rule.Always(
+			rule.MatchingLanguages("Text"),
+		),
+	},
 	".v": &Heuristics{
 		rule.Or(
 			rule.MatchingLanguages("Coq"),
-			regexp.MustCompile(`(?m)\(\*.*?\*\)|(?:^|\s)(?:Proof|Qed)\.(?:$|\s)|(?:^|\s)Require[ \t]+Import\s`),
+			regexp.MustCompile(`(?m)(?:^|\s)(?:Proof|Qed)\.(?:$|\s)|(?:^|\s)Require[ \t]+(Import|Export)\s`),
 		),
 		rule.Or(
 			rule.MatchingLanguages("Verilog"),
-			regexp.MustCompile(`(?m)^[ \t]*module\s+[^\s()]+\s+\#?\(|^[ \t]*`+"`"+`(?:ifdef|timescale)\s|^[ \t]*always[ \t]+@`),
+			regexp.MustCompile(`(?m)^[ \t]*module\s+[^\s()]+\s+\#?\(|^[ \t]*`+"`"+`(?:define|ifdef|ifndef|include|timescale)|^[ \t]*always[ \t]+@|^[ \t]*initial[ \t]+(begin|@)`),
 		),
 		rule.Or(
 			rule.MatchingLanguages("V"),
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/documentation.go b/vendor/github.com/go-enry/go-enry/v2/data/documentation.go
index 77ce04b42b..0c51181e06 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/documentation.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/documentation.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/extension.go b/vendor/github.com/go-enry/go-enry/v2/data/extension.go
index 73bf49a786..4b3443db81 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/extension.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/extension.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -50,7 +50,7 @@ var LanguagesByExtension = map[string][]string{
 	".ahk":                 {"AutoHotkey"},
 	".ahkl":                {"AutoHotkey"},
 	".aj":                  {"AspectJ"},
-	".al":                  {"Perl"},
+	".al":                  {"AL", "Perl"},
 	".als":                 {"Alloy"},
 	".ampl":                {"AMPL"},
 	".angelscript":         {"AngelScript"},
@@ -64,29 +64,31 @@ var LanguagesByExtension = map[string][]string{
 	".arc":                 {"Arc"},
 	".arpa":                {"DNS Zone"},
 	".as":                  {"ActionScript", "AngelScript"},
-	".asax":                {"ASP"},
+	".asax":                {"ASP.NET"},
 	".asc":                 {"AGS Script", "AsciiDoc", "Public Key"},
 	".asciidoc":            {"AsciiDoc"},
-	".ascx":                {"ASP"},
+	".ascx":                {"ASP.NET"},
 	".asd":                 {"Common Lisp"},
 	".ash":                 {"AGS Script"},
-	".ashx":                {"ASP"},
+	".ashx":                {"ASP.NET"},
+	".asl":                 {"ASL"},
 	".asm":                 {"Assembly", "Motorola 68K Assembly"},
-	".asmx":                {"ASP"},
+	".asmx":                {"ASP.NET"},
 	".asn":                 {"ASN.1"},
 	".asn1":                {"ASN.1"},
-	".asp":                 {"ASP"},
-	".aspx":                {"ASP"},
+	".asp":                 {"Classic ASP"},
+	".aspx":                {"ASP.NET"},
 	".asset":               {"Unity3D Asset"},
 	".asy":                 {"Asymptote", "LTspice Symbol"},
 	".au3":                 {"AutoIt"},
 	".aug":                 {"Augeas"},
 	".auk":                 {"Awk"},
 	".aux":                 {"TeX"},
+	".avdl":                {"Avro IDL"},
 	".avsc":                {"JSON"},
 	".aw":                  {"PHP"},
 	".awk":                 {"Awk"},
-	".axd":                 {"ASP"},
+	".axd":                 {"ASP.NET"},
 	".axi":                 {"NetLinx"},
 	".axi.erb":             {"NetLinx+ERB"},
 	".axml":                {"XML"},
@@ -173,6 +175,7 @@ var LanguagesByExtension = map[string][]string{
 	".cobol":               {"COBOL"},
 	".cocci":               {"SmPL"},
 	".coffee":              {"CoffeeScript"},
+	".coffee.md":           {"Literate CoffeeScript"},
 	".com":                 {"DIGITAL Command Language"},
 	".command":             {"Shell"},
 	".conll":               {"CoNLL-U"},
@@ -223,6 +226,7 @@ var LanguagesByExtension = map[string][]string{
 	".desktop":             {"desktop"},
 	".desktop.in":          {"desktop"},
 	".dfm":                 {"Pascal"},
+	".dfy":                 {"Dafny"},
 	".dhall":               {"Dhall"},
 	".di":                  {"D"},
 	".diff":                {"Diff"},
@@ -243,6 +247,7 @@ var LanguagesByExtension = map[string][]string{
 	".dpatch":              {"Darcs Patch"},
 	".dpr":                 {"Pascal"},
 	".druby":               {"Mirah"},
+	".dsl":                 {"ASL"},
 	".dsp":                 {"Faust", "Microsoft Developer Studio Project"},
 	".dtx":                 {"TeX"},
 	".duby":                {"Mirah"},
@@ -260,6 +265,7 @@ var LanguagesByExtension = map[string][]string{
 	".eclass":              {"Gentoo Eclass"},
 	".eclxml":              {"ECL"},
 	".ecr":                 {"HTML+ECR"},
+	".ect":                 {"EJS"},
 	".edc":                 {"Edje Data Collection"},
 	".edn":                 {"edn"},
 	".eex":                 {"HTML+EEX"},
@@ -274,6 +280,7 @@ var LanguagesByExtension = map[string][]string{
 	".emacs.desktop":       {"Emacs Lisp"},
 	".emberscript":         {"EmberScript"},
 	".eml":                 {"EML"},
+	".env":                 {"Shell"},
 	".epj":                 {"Ecere Projects"},
 	".eps":                 {"PostScript"},
 	".epsi":                {"PostScript"},
@@ -288,11 +295,11 @@ var LanguagesByExtension = map[string][]string{
 	".exs":                 {"Elixir"},
 	".eye":                 {"Ruby"},
 	".f":                   {"Filebench WML", "Forth", "Fortran"},
-	".f03":                 {"Fortran"},
-	".f08":                 {"Fortran"},
+	".f03":                 {"Fortran Free Form"},
+	".f08":                 {"Fortran Free Form"},
 	".f77":                 {"Fortran"},
-	".f90":                 {"Fortran"},
-	".f95":                 {"Fortran"},
+	".f90":                 {"Fortran Free Form"},
+	".f95":                 {"Fortran Free Form"},
 	".factor":              {"Factor"},
 	".fan":                 {"Fantom"},
 	".fancypack":           {"Fancy"},
@@ -325,6 +332,7 @@ var LanguagesByExtension = map[string][]string{
 	".fth":                 {"Forth"},
 	".ftl":                 {"FreeMarker"},
 	".fun":                 {"Standard ML"},
+	".fut":                 {"Futhark"},
 	".fx":                  {"FLUX", "HLSL"},
 	".fxh":                 {"HLSL"},
 	".fxml":                {"XML"},
@@ -344,6 +352,7 @@ var LanguagesByExtension = map[string][]string{
 	".gd":                  {"GAP", "GDScript"},
 	".gdb":                 {"GDB"},
 	".gdbinit":             {"GDB"},
+	".ged":                 {"GEDCOM"},
 	".gemspec":             {"Ruby"},
 	".geo":                 {"GLSL"},
 	".geojson":             {"JSON"},
@@ -383,7 +392,7 @@ var LanguagesByExtension = map[string][]string{
 	".gs":                  {"GLSL", "Genie", "Gosu", "JavaScript"},
 	".gshader":             {"GLSL"},
 	".gsp":                 {"Groovy Server Pages"},
-	".gst":                 {"Gosu"},
+	".gst":                 {"Gosu", "XML"},
 	".gsx":                 {"Gosu"},
 	".gtl":                 {"Gerber Image"},
 	".gto":                 {"Gerber Image"},
@@ -422,6 +431,7 @@ var LanguagesByExtension = map[string][]string{
 	".htm":                 {"HTML"},
 	".html":                {"HTML"},
 	".html.hl":             {"HTML"},
+	".html.leex":           {"HTML+EEX"},
 	".http":                {"HTTP"},
 	".hx":                  {"Haxe"},
 	".hxml":                {"HXML"},
@@ -454,10 +464,12 @@ var LanguagesByExtension = map[string][]string{
 	".ipp":                 {"C++"},
 	".ipynb":               {"Jupyter Notebook"},
 	".irclog":              {"IRC log"},
+	".isl":                 {"Inno Setup"},
 	".iss":                 {"Inno Setup"},
 	".iuml":                {"PlantUML"},
 	".ivy":                 {"XML"},
 	".j":                   {"Jasmin", "Objective-J"},
+	".j2":                  {"HTML+Django"},
 	".jade":                {"Pug"},
 	".jake":                {"JavaScript"},
 	".java":                {"Java"},
@@ -486,6 +498,7 @@ var LanguagesByExtension = map[string][]string{
 	".jsp":                 {"Java Server Pages"},
 	".jsproj":              {"XML"},
 	".jss":                 {"JavaScript"},
+	".jst":                 {"EJS"},
 	".jsx":                 {"JSX"},
 	".kicad_mod":           {"KiCad Layout"},
 	".kicad_pcb":           {"KiCad Layout"},
@@ -496,11 +509,13 @@ var LanguagesByExtension = map[string][]string{
 	".kojo":                {"Scala"},
 	".krl":                 {"KRL"},
 	".ksh":                 {"Shell"},
+	".ksy":                 {"Kaitai Struct"},
 	".kt":                  {"Kotlin"},
 	".ktm":                 {"Kotlin"},
 	".kts":                 {"Kotlin"},
 	".l":                   {"Common Lisp", "Lex", "PicoLisp", "Roff"},
 	".lagda":               {"Literate Agda"},
+	".lark":                {"Lark"},
 	".las":                 {"Lasso"},
 	".lasso":               {"Lasso"},
 	".lasso8":              {"Lasso"},
@@ -520,6 +535,7 @@ var LanguagesByExtension = map[string][]string{
 	".libsonnet":           {"Jsonnet"},
 	".lid":                 {"Dylan"},
 	".lidr":                {"Idris"},
+	".linq":                {"C#"},
 	".liquid":              {"Liquid"},
 	".lisp":                {"Common Lisp", "NewLisp"},
 	".litcoffee":           {"Literate CoffeeScript"},
@@ -535,9 +551,11 @@ var LanguagesByExtension = map[string][]string{
 	".lsp":                 {"Common Lisp", "NewLisp"},
 	".ltx":                 {"TeX"},
 	".lua":                 {"Lua"},
+	".lvlib":               {"LabVIEW"},
 	".lvproj":              {"LabVIEW"},
 	".ly":                  {"LilyPond"},
 	".m":                   {"Limbo", "M", "MATLAB", "MUF", "Mathematica", "Mercury", "Objective-C"},
+	".m2":                  {"Macaulay2"},
 	".m3":                  {"Modula-3"},
 	".m4":                  {"M4", "M4Sugar"},
 	".ma":                  {"Mathematica"},
@@ -617,7 +635,7 @@ var LanguagesByExtension = map[string][]string{
 	".muf":                 {"MUF"},
 	".mumps":               {"M"},
 	".muse":                {"Muse"},
-	".mustache":            {"HTML+Django"},
+	".mustache":            {"Mustache"},
 	".mxml":                {"XML"},
 	".mxt":                 {"Max"},
 	".mysql":               {"SQL"},
@@ -635,7 +653,9 @@ var LanguagesByExtension = map[string][]string{
 	".ndproj":              {"XML"},
 	".ne":                  {"Nearley"},
 	".nearley":             {"Nearley"},
+	".neon":                {"NEON"},
 	".nf":                  {"Nextflow"},
+	".nginx":               {"Nginx"},
 	".nginxconf":           {"Nginx"},
 	".ni":                  {"Inform 7"},
 	".nim":                 {"Nim"},
@@ -651,12 +671,14 @@ var LanguagesByExtension = map[string][]string{
 	".nl":                  {"NL", "NewLisp"},
 	".nlogo":               {"NetLogo"},
 	".no":                  {"Text"},
+	".nomad":               {"HCL"},
 	".nproj":               {"XML"},
 	".nqp":                 {"Raku"},
 	".nr":                  {"Roff"},
 	".nse":                 {"Lua"},
 	".nsh":                 {"NSIS"},
 	".nsi":                 {"NSIS"},
+	".nss":                 {"NWScript"},
 	".nu":                  {"Nu"},
 	".numpy":               {"NumPy"},
 	".numpyw":              {"NumPy"},
@@ -799,6 +821,7 @@ var LanguagesByExtension = map[string][]string{
 	".ql":                  {"CodeQL"},
 	".qll":                 {"CodeQL"},
 	".qml":                 {"QML"},
+	".qs":                  {"Q#", "Qt Script"},
 	".r":                   {"R", "Rebol"},
 	".r2":                  {"Rebol"},
 	".r3":                  {"Rebol"},
@@ -833,13 +856,14 @@ var LanguagesByExtension = map[string][]string{
 	".regexp":              {"Regular Expression"},
 	".rego":                {"Open Policy Agent"},
 	".rei":                 {"Reason"},
+	".res":                 {"ReScript", "XML"},
 	".rest":                {"reStructuredText"},
 	".rest.txt":            {"reStructuredText"},
 	".resx":                {"XML"},
 	".rex":                 {"REXX"},
 	".rexx":                {"REXX"},
 	".rg":                  {"Rouge"},
-	".rhtml":               {"RHTML"},
+	".rhtml":               {"HTML+ERB"},
 	".ring":                {"Ring"},
 	".riot":                {"Riot"},
 	".rkt":                 {"Racket"},
@@ -900,6 +924,7 @@ var LanguagesByExtension = map[string][]string{
 	".shader":              {"GLSL", "ShaderLab"},
 	".shen":                {"Shen"},
 	".shproj":              {"XML"},
+	".sieve":               {"Sieve"},
 	".sig":                 {"Standard ML"},
 	".sj":                  {"Objective-J"},
 	".sjs":                 {"JavaScript"},
@@ -916,6 +941,7 @@ var LanguagesByExtension = map[string][]string{
 	".snip":                {"Vim Snippet"},
 	".snippet":             {"Vim Snippet"},
 	".snippets":            {"Vim Snippet"},
+	".sol":                 {"Gerber Image", "Solidity"},
 	".soy":                 {"Closure Templates"},
 	".sp":                  {"SourcePawn"},
 	".sparql":              {"SPARQL"},
@@ -937,6 +963,7 @@ var LanguagesByExtension = map[string][]string{
 	".stan":                {"Stan"},
 	".sthlp":               {"Stata"},
 	".ston":                {"STON"},
+	".story":               {"Gherkin"},
 	".storyboard":          {"XML"},
 	".sttheme":             {"XML Property List"},
 	".sty":                 {"TeX"},
@@ -1005,13 +1032,14 @@ var LanguagesByExtension = map[string][]string{
 	".trg":                 {"PLSQL"},
 	".ts":                  {"TypeScript", "XML"},
 	".tst":                 {"GAP", "Scilab"},
+	".tsv":                 {"TSV"},
 	".tsx":                 {"TSX", "XML"},
 	".ttl":                 {"Turtle"},
 	".tu":                  {"Turing"},
 	".twig":                {"Twig"},
 	".txi":                 {"Texinfo"},
 	".txl":                 {"TXL"},
-	".txt":                 {"Text"},
+	".txt":                 {"Text", "Vim Help File"},
 	".uc":                  {"UnrealScript"},
 	".udf":                 {"SQL"},
 	".udo":                 {"Csound"},
@@ -1167,12 +1195,14 @@ var ExtensionsByLanguage = map[string][]string{
 	"ABAP":                               {".abap"},
 	"ABNF":                               {".abnf"},
 	"AGS Script":                         {".asc", ".ash"},
+	"AL":                                 {".al"},
 	"AMPL":                               {".ampl", ".mod"},
 	"ANTLR":                              {".g4"},
 	"API Blueprint":                      {".apib"},
 	"APL":                                {".apl", ".dyalog"},
+	"ASL":                                {".asl", ".dsl"},
 	"ASN.1":                              {".asn", ".asn1"},
-	"ASP":                                {".asp", ".asax", ".ascx", ".ashx", ".asmx", ".aspx", ".axd"},
+	"ASP.NET":                            {".asax", ".ascx", ".ashx", ".asmx", ".aspx", ".axd"},
 	"ATS":                                {".dats", ".hats", ".sats"},
 	"ActionScript":                       {".as"},
 	"Ada":                                {".adb", ".ada", ".ads"},
@@ -1193,6 +1223,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Augeas":                             {".aug"},
 	"AutoHotkey":                         {".ahk", ".ahkl"},
 	"AutoIt":                             {".au3"},
+	"Avro IDL":                           {".avdl"},
 	"Awk":                                {".awk", ".auk", ".gawk", ".mawk", ".nawk"},
 	"Ballerina":                          {".bal"},
 	"Batchfile":                          {".bat", ".cmd"},
@@ -1208,7 +1239,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Brainfuck":                          {".b", ".bf"},
 	"Brightscript":                       {".brs"},
 	"C":                                  {".c", ".cats", ".h", ".idc"},
-	"C#":                                 {".cs", ".cake", ".csx"},
+	"C#":                                 {".cs", ".cake", ".csx", ".linq"},
 	"C++":                                {".cpp", ".c++", ".cc", ".cp", ".cxx", ".h", ".h++", ".hh", ".hpp", ".hxx", ".inc", ".inl", ".ino", ".ipp", ".re", ".tcc", ".tpp"},
 	"C-ObjDump":                          {".c-objdump"},
 	"C2hs Haskell":                       {".chs"},
@@ -1229,6 +1260,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"ChucK":                              {".ck"},
 	"Cirru":                              {".cirru"},
 	"Clarion":                            {".clw"},
+	"Classic ASP":                        {".asp"},
 	"Clean":                              {".icl", ".dcl"},
 	"Click":                              {".click"},
 	"Clojure":                            {".clj", ".boot", ".cl2", ".cljc", ".cljs", ".cljs.hl", ".cljscm", ".cljx", ".hic"},
@@ -1258,6 +1290,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"DM":                                 {".dm"},
 	"DNS Zone":                           {".zone", ".arpa"},
 	"DTrace":                             {".d"},
+	"Dafny":                              {".dfy"},
 	"Darcs Patch":                        {".darcspatch", ".dpatch"},
 	"Dart":                               {".dart"},
 	"DataWeave":                          {".dwl"},
@@ -1271,7 +1304,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"EBNF":                               {".ebnf"},
 	"ECL":                                {".ecl", ".eclxml"},
 	"ECLiPSe":                            {".ecl"},
-	"EJS":                                {".ejs"},
+	"EJS":                                {".ejs", ".ect", ".jst"},
 	"EML":                                {".eml", ".mbox"},
 	"EQ":                                 {".eq"},
 	"Eagle":                              {".sch", ".brd"},
@@ -1296,9 +1329,11 @@ var ExtensionsByLanguage = map[string][]string{
 	"Filterscript":                       {".fs"},
 	"Formatted":                          {".for", ".eam.fs"},
 	"Forth":                              {".fth", ".4th", ".f", ".for", ".forth", ".fr", ".frt", ".fs"},
-	"Fortran":                            {".f90", ".f", ".f03", ".f08", ".f77", ".f95", ".for", ".fpp"},
+	"Fortran":                            {".f", ".f77", ".for", ".fpp"},
+	"Fortran Free Form":                  {".f90", ".f03", ".f08", ".f95"},
 	"FreeMarker":                         {".ftl"},
 	"Frege":                              {".fr"},
+	"Futhark":                            {".fut"},
 	"G-code":                             {".g", ".cnc", ".gco", ".gcode"},
 	"GAML":                               {".gaml"},
 	"GAMS":                               {".gms"},
@@ -1306,6 +1341,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"GCC Machine Description":            {".md"},
 	"GDB":                                {".gdb", ".gdbinit"},
 	"GDScript":                           {".gd"},
+	"GEDCOM":                             {".ged"},
 	"GLSL":                               {".glsl", ".fp", ".frag", ".frg", ".fs", ".fsh", ".fshader", ".geo", ".geom", ".glslf", ".glslv", ".gs", ".gshader", ".shader", ".tesc", ".tese", ".vert", ".vrx", ".vsh", ".vshader"},
 	"GN":                                 {".gn", ".gni"},
 	"Game Maker Language":                {".gml"},
@@ -1313,9 +1349,9 @@ var ExtensionsByLanguage = map[string][]string{
 	"Genshi":                             {".kid"},
 	"Gentoo Ebuild":                      {".ebuild"},
 	"Gentoo Eclass":                      {".eclass"},
-	"Gerber Image":                       {".gbr", ".gbl", ".gbo", ".gbp", ".gbs", ".gko", ".gml", ".gpb", ".gpt", ".gtl", ".gto", ".gtp", ".gts"},
+	"Gerber Image":                       {".gbr", ".gbl", ".gbo", ".gbp", ".gbs", ".gko", ".gml", ".gpb", ".gpt", ".gtl", ".gto", ".gtp", ".gts", ".sol"},
 	"Gettext Catalog":                    {".po", ".pot"},
-	"Gherkin":                            {".feature"},
+	"Gherkin":                            {".feature", ".story"},
 	"Git Config":                         {".gitconfig"},
 	"Glyph":                              {".glf"},
 	"Glyph Bitmap Distribution Format":   {".bdf"},
@@ -1332,13 +1368,13 @@ var ExtensionsByLanguage = map[string][]string{
 	"Groovy":                             {".groovy", ".grt", ".gtpl", ".gvy"},
 	"Groovy Server Pages":                {".gsp"},
 	"HAProxy":                            {".cfg"},
-	"HCL":                                {".hcl", ".tf", ".tfvars", ".workflow"},
+	"HCL":                                {".hcl", ".nomad", ".tf", ".tfvars", ".workflow"},
 	"HLSL":                               {".hlsl", ".cginc", ".fx", ".fxh", ".hlsli"},
 	"HTML":                               {".html", ".htm", ".html.hl", ".inc", ".st", ".xht", ".xhtml"},
-	"HTML+Django":                        {".jinja", ".jinja2", ".mustache", ".njk"},
+	"HTML+Django":                        {".jinja", ".j2", ".jinja2", ".njk"},
 	"HTML+ECR":                           {".ecr"},
-	"HTML+EEX":                           {".eex"},
-	"HTML+ERB":                           {".erb", ".erb.deface"},
+	"HTML+EEX":                           {".eex", ".html.leex"},
+	"HTML+ERB":                           {".erb", ".erb.deface", ".rhtml"},
 	"HTML+PHP":                           {".phtml"},
 	"HTML+Razor":                         {".cshtml", ".razor"},
 	"HTTP":                               {".http"},
@@ -1360,7 +1396,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Idris":                              {".idr", ".lidr"},
 	"Ignore List":                        {".gitignore"},
 	"Inform 7":                           {".ni", ".i7x"},
-	"Inno Setup":                         {".iss"},
+	"Inno Setup":                         {".iss", ".isl"},
 	"Io":                                 {".io"},
 	"Ioke":                               {".ik"},
 	"Isabelle":                           {".thy"},
@@ -1385,6 +1421,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Julia":                              {".jl"},
 	"Jupyter Notebook":                   {".ipynb"},
 	"KRL":                                {".krl"},
+	"Kaitai Struct":                      {".ksy"},
 	"KiCad Layout":                       {".kicad_pcb", ".kicad_mod", ".kicad_wks"},
 	"KiCad Legacy Layout":                {".brd"},
 	"KiCad Schematic":                    {".sch"},
@@ -1395,7 +1432,8 @@ var ExtensionsByLanguage = map[string][]string{
 	"LOLCODE":                            {".lol"},
 	"LSL":                                {".lsl", ".lslp"},
 	"LTspice Symbol":                     {".asy"},
-	"LabVIEW":                            {".lvproj"},
+	"LabVIEW":                            {".lvproj", ".lvlib"},
+	"Lark":                               {".lark"},
 	"Lasso":                              {".lasso", ".las", ".lasso8", ".lasso9"},
 	"Latte":                              {".latte"},
 	"Lean":                               {".lean", ".hlean"},
@@ -1407,7 +1445,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Linux Kernel Module":                {".mod"},
 	"Liquid":                             {".liquid"},
 	"Literate Agda":                      {".lagda"},
-	"Literate CoffeeScript":              {".litcoffee"},
+	"Literate CoffeeScript":              {".litcoffee", ".coffee.md"},
 	"Literate Haskell":                   {".lhs"},
 	"LiveScript":                         {".ls", "._ls"},
 	"Logos":                              {".xm", ".x", ".xi"},
@@ -1425,6 +1463,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"MQL5":                               {".mq5", ".mqh"},
 	"MTML":                               {".mtml"},
 	"MUF":                                {".muf", ".m"},
+	"Macaulay2":                          {".m2"},
 	"Makefile":                           {".mak", ".d", ".make", ".mk", ".mkfile"},
 	"Mako":                               {".mako", ".mao"},
 	"Markdown":                           {".md", ".markdown", ".mdown", ".mdwn", ".mdx", ".mkd", ".mkdn", ".mkdown", ".ronn", ".workbook"},
@@ -1447,11 +1486,14 @@ var ExtensionsByLanguage = map[string][]string{
 	"MoonScript":                         {".moon"},
 	"Motorola 68K Assembly":              {".asm", ".i", ".inc", ".s", ".x68"},
 	"Muse":                               {".muse"},
+	"Mustache":                           {".mustache"},
 	"Myghty":                             {".myt"},
 	"NASL":                               {".nasl", ".inc"},
 	"NCL":                                {".ncl"},
+	"NEON":                               {".neon"},
 	"NL":                                 {".nl"},
 	"NSIS":                               {".nsi", ".nsh"},
+	"NWScript":                           {".nss"},
 	"Nearley":                            {".ne", ".nearley"},
 	"Nemerle":                            {".n"},
 	"NetLinx":                            {".axs", ".axi"},
@@ -1459,7 +1501,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"NetLogo":                            {".nlogo"},
 	"NewLisp":                            {".nl", ".lisp", ".lsp"},
 	"Nextflow":                           {".nf"},
-	"Nginx":                              {".nginxconf", ".vhost"},
+	"Nginx":                              {".nginx", ".nginxconf", ".vhost"},
 	"Nim":                                {".nim", ".nim.cfg", ".nimble", ".nimrod", ".nims"},
 	"Ninja":                              {".ninja"},
 	"Nit":                                {".nit"},
@@ -1530,14 +1572,15 @@ var ExtensionsByLanguage = map[string][]string{
 	"PureScript":                         {".purs"},
 	"Python":                             {".py", ".cgi", ".fcgi", ".gyp", ".gypi", ".lmi", ".py3", ".pyde", ".pyi", ".pyp", ".pyt", ".pyw", ".rpy", ".smk", ".spec", ".tac", ".wsgi", ".xpy"},
 	"Python traceback":                   {".pytb"},
+	"Q#":                                 {".qs"},
 	"QML":                                {".qml", ".qbs"},
 	"QMake":                              {".pro", ".pri"},
+	"Qt Script":                          {".qs"},
 	"R":                                  {".r", ".rd", ".rsx"},
 	"RAML":                               {".raml"},
 	"RDoc":                               {".rdoc"},
 	"REALbasic":                          {".rbbas", ".rbfrm", ".rbmnu", ".rbres", ".rbtbar", ".rbuistate"},
 	"REXX":                               {".rexx", ".pprx", ".rex"},
-	"RHTML":                              {".rhtml"},
 	"RMarkdown":                          {".rmd"},
 	"RPC":                                {".x"},
 	"RPM Spec":                           {".spec"},
@@ -1547,6 +1590,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Raku":                               {".6pl", ".6pm", ".nqp", ".p6", ".p6l", ".p6m", ".pl", ".pl6", ".pm", ".pm6", ".t"},
 	"Rascal":                             {".rsc"},
 	"Raw token data":                     {".raw"},
+	"ReScript":                           {".res"},
 	"Reason":                             {".re", ".rei"},
 	"Rebol":                              {".reb", ".r", ".r2", ".r3", ".rebol"},
 	"Red":                                {".red", ".reds"},
@@ -1583,9 +1627,10 @@ var ExtensionsByLanguage = map[string][]string{
 	"Scilab":                             {".sci", ".sce", ".tst"},
 	"Self":                               {".self"},
 	"ShaderLab":                          {".shader"},
-	"Shell":                              {".sh", ".bash", ".bats", ".cgi", ".command", ".fcgi", ".ksh", ".sh.in", ".tmux", ".tool", ".zsh"},
+	"Shell":                              {".sh", ".bash", ".bats", ".cgi", ".command", ".env", ".fcgi", ".ksh", ".sh.in", ".tmux", ".tool", ".zsh"},
 	"ShellSession":                       {".sh-session"},
 	"Shen":                               {".shen"},
+	"Sieve":                              {".sieve"},
 	"Slash":                              {".sl"},
 	"Slice":                              {".ice"},
 	"Slim":                               {".slim"},
@@ -1593,6 +1638,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"Smali":                              {".smali"},
 	"Smalltalk":                          {".st", ".cs"},
 	"Smarty":                             {".tpl"},
+	"Solidity":                           {".sol"},
 	"SourcePawn":                         {".sp", ".inc"},
 	"Spline Font Database":               {".sfd"},
 	"Squirrel":                           {".nut"},
@@ -1611,6 +1657,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"TLA":                                {".tla"},
 	"TOML":                               {".toml"},
 	"TSQL":                               {".sql"},
+	"TSV":                                {".tsv"},
 	"TSX":                                {".tsx"},
 	"TXL":                                {".txl"},
 	"Tcl":                                {".tcl", ".adp", ".tm"},
@@ -1640,6 +1687,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"VHDL":                               {".vhdl", ".vhd", ".vhf", ".vhi", ".vho", ".vhs", ".vht", ".vhw"},
 	"Vala":                               {".vala", ".vapi"},
 	"Verilog":                            {".v", ".veo"},
+	"Vim Help File":                      {".txt"},
 	"Vim Snippet":                        {".snip", ".snippet", ".snippets"},
 	"Vim script":                         {".vim", ".vba", ".vmb"},
 	"Visual Basic .NET":                  {".vb", ".vbhtml"},
@@ -1658,7 +1706,7 @@ var ExtensionsByLanguage = map[string][]string{
 	"X PixMap":                           {".xpm", ".pm"},
 	"X10":                                {".x10"},
 	"XC":                                 {".xc"},
-	"XML":                                {".xml", ".adml", ".admx", ".ant", ".axml", ".builds", ".ccproj", ".ccxml", ".clixml", ".cproject", ".cscfg", ".csdef", ".csl", ".csproj", ".ct", ".depproj", ".dita", ".ditamap", ".ditaval", ".dll.config", ".dotsettings", ".filters", ".fsproj", ".fxml", ".glade", ".gml", ".gmx", ".grxml", ".iml", ".ivy", ".jelly", ".jsproj", ".kml", ".launch", ".mdpolicy", ".mjml", ".mm", ".mod", ".mxml", ".natvis", ".ncl", ".ndproj", ".nproj", ".nuspec", ".odd", ".osm", ".pkgproj", ".pluginspec", ".proj", ".props", ".ps1xml", ".psc1", ".pt", ".rdf", ".resx", ".rss", ".sch", ".scxml", ".sfproj", ".shproj", ".srdf", ".storyboard", ".sublime-snippet", ".targets", ".tml", ".ts", ".tsx", ".ui", ".urdf", ".ux", ".vbproj", ".vcxproj", ".vsixmanifest", ".vssettings", ".vstemplate", ".vxml", ".wixproj", ".workflow", ".wsdl", ".wsf", ".wxi", ".wxl", ".wxs", ".x3d", ".xacro", ".xaml", ".xib", ".xlf", ".xliff", ".xmi", ".xml.dist", ".xproj", ".xsd", ".xspec", ".xul", ".zcml"},
+	"XML":                                {".xml", ".adml", ".admx", ".ant", ".axml", ".builds", ".ccproj", ".ccxml", ".clixml", ".cproject", ".cscfg", ".csdef", ".csl", ".csproj", ".ct", ".depproj", ".dita", ".ditamap", ".ditaval", ".dll.config", ".dotsettings", ".filters", ".fsproj", ".fxml", ".glade", ".gml", ".gmx", ".grxml", ".gst", ".iml", ".ivy", ".jelly", ".jsproj", ".kml", ".launch", ".mdpolicy", ".mjml", ".mm", ".mod", ".mxml", ".natvis", ".ncl", ".ndproj", ".nproj", ".nuspec", ".odd", ".osm", ".pkgproj", ".pluginspec", ".proj", ".props", ".ps1xml", ".psc1", ".pt", ".rdf", ".res", ".resx", ".rss", ".sch", ".scxml", ".sfproj", ".shproj", ".srdf", ".storyboard", ".sublime-snippet", ".targets", ".tml", ".ts", ".tsx", ".ui", ".urdf", ".ux", ".vbproj", ".vcxproj", ".vsixmanifest", ".vssettings", ".vstemplate", ".vxml", ".wixproj", ".workflow", ".wsdl", ".wsf", ".wxi", ".wxl", ".wxs", ".x3d", ".xacro", ".xaml", ".xib", ".xlf", ".xliff", ".xmi", ".xml.dist", ".xproj", ".xsd", ".xspec", ".xul", ".zcml"},
 	"XML Property List":                  {".plist", ".sttheme", ".tmcommand", ".tmlanguage", ".tmpreferences", ".tmsnippet", ".tmtheme"},
 	"XPages":                             {".xsp-config", ".xsp.metadata"},
 	"XProc":                              {".xpl", ".xproc"},
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/filename.go b/vendor/github.com/go-enry/go-enry/v2/data/filename.go
index 074f430333..56d02173b0 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/filename.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/filename.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -31,10 +31,14 @@ var LanguagesByFilename = map[string][]string{
 	".editorconfig":               {"EditorConfig"},
 	".emacs":                      {"Emacs Lisp"},
 	".emacs.desktop":              {"Emacs Lisp"},
+	".env":                        {"Shell"},
+	".env.example":                {"Shell"},
 	".eslintignore":               {"Ignore List"},
 	".eslintrc.json":              {"JSON with Comments"},
+	".exrc":                       {"Vim script"},
 	".factor-boot-rc":             {"Factor"},
 	".factor-rc":                  {"Factor"},
+	".flaskenv":                   {"Shell"},
 	".gclient":                    {"Python"},
 	".gemrc":                      {"YAML"},
 	".gitattributes":              {"Git Attributes"},
@@ -65,6 +69,7 @@ var LanguagesByFilename = map[string][]string{
 	".profile":                    {"Shell"},
 	".project":                    {"XML"},
 	".pryrc":                      {"Ruby"},
+	".simplecov":                  {"Ruby"},
 	".spacemacs":                  {"Emacs Lisp"},
 	".stylelintignore":            {"Ignore List"},
 	".tern-config":                {"JSON"},
@@ -120,6 +125,7 @@ var LanguagesByFilename = map[string][]string{
 	"Kbuild":                      {"Makefile"},
 	"LICENSE":                     {"Text"},
 	"LICENSE.mysql":               {"Text"},
+	"Lexer.x":                     {"Lex"},
 	"Makefile":                    {"Makefile"},
 	"Makefile.PL":                 {"Perl"},
 	"Makefile.am":                 {"Makefile"},
@@ -142,6 +148,7 @@ var LanguagesByFilename = map[string][]string{
 	"README.1ST":                  {"Text"},
 	"README.me":                   {"Text"},
 	"README.mysql":                {"Text"},
+	"README.nss":                  {"Text"},
 	"ROOT":                        {"Isabelle ROOT"},
 	"Rakefile":                    {"Ruby"},
 	"Rexfile":                     {"Perl"},
@@ -152,6 +159,7 @@ var LanguagesByFilename = map[string][]string{
 	"Snakefile":                   {"Python"},
 	"Snapfile":                    {"Ruby"},
 	"Thorfile":                    {"Ruby"},
+	"Tiltfile":                    {"Starlark"},
 	"Vagrantfile":                 {"Ruby"},
 	"WORKSPACE":                   {"Starlark"},
 	"Web.Debug.config":            {"XML"},
@@ -185,6 +193,7 @@ var LanguagesByFilename = map[string][]string{
 	"delete.me":                   {"Text"},
 	"descrip.mmk":                 {"Module Management System"},
 	"descrip.mms":                 {"Module Management System"},
+	"devcontainer.json":           {"JSON with Comments"},
 	"dir_colors":                  {"dircolors"},
 	"encodings.dir":               {"X Font Directory Index"},
 	"eqnrc":                       {"Roff"},
@@ -204,10 +213,12 @@ var LanguagesByFilename = map[string][]string{
 	"haproxy.cfg":                 {"HAProxy"},
 	"httpd.conf":                  {"ApacheConf"},
 	"inputrc":                     {"Readline Config"},
+	"installscript.qs":            {"Qt Script"},
 	"jsconfig.json":               {"JSON with Comments"},
 	"keep.me":                     {"Text"},
 	"language-configuration.json": {"JSON with Comments"},
 	"ld.script":                   {"Linker Script"},
+	"lexer.x":                     {"Lex"},
 	"login":                       {"Shell"},
 	"m3makefile":                  {"Quake"},
 	"m3overrides":                 {"Quake"},
@@ -231,6 +242,7 @@ var LanguagesByFilename = map[string][]string{
 	"package.use.mask":            {"Text"},
 	"package.use.stable.mask":     {"Text"},
 	"packages.config":             {"XML"},
+	"poetry.lock":                 {"TOML"},
 	"pom.xml":                     {"Maven POM"},
 	"profile":                     {"Shell"},
 	"read.me":                     {"Text"},
@@ -247,9 +259,11 @@ var LanguagesByFilename = map[string][]string{
 	"sshd_config":                 {"SSH Config"},
 	"starfield":                   {"Tcl"},
 	"test.me":                     {"Text"},
+	"toolchain_installscript.qs":  {"Qt Script"},
 	"troffrc":                     {"Roff"},
 	"troffrc-end":                 {"Roff"},
 	"tsconfig.json":               {"JSON with Comments"},
+	"tslint.json":                 {"JSON with Comments"},
 	"use.mask":                    {"Text"},
 	"use.stable.mask":             {"Text"},
 	"vimrc":                       {"Vim script"},
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/frequencies.go b/vendor/github.com/go-enry/go-enry/v2/data/frequencies.go
index a919797ed5..82193d0250 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/frequencies.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/frequencies.go
@@ -1,493 +1,515 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
 var LanguagesLogProbabilities = map[string]float64{
-	"1C Enterprise":                      -5.957563,
-	"4D":                                 -6.363028,
-	"ABAP":                               -7.749322,
-	"ABNF":                               -7.749322,
-	"AGS Script":                         -6.363028,
-	"AMPL":                               -7.056175,
-	"API Blueprint":                      -6.650710,
-	"APL":                                -6.650710,
-	"ASN.1":                              -7.749322,
-	"ATS":                                -5.552098,
-	"ActionScript":                       -7.056175,
-	"Adobe Font Metrics":                 -6.650710,
-	"Agda":                               -7.749322,
-	"Alloy":                              -6.650710,
-	"Alpine Abuild":                      -7.749322,
-	"Altium Designer":                    -6.363028,
-	"AngelScript":                        -7.056175,
-	"Ant Build System":                   -7.749322,
-	"ApacheConf":                         -6.363028,
-	"Apex":                               -5.957563,
-	"Apollo Guidance Computer":           -7.749322,
-	"AppleScript":                        -5.803412,
-	"AsciiDoc":                           -6.650710,
-	"AspectJ":                            -7.056175,
-	"Assembly":                           -5.552098,
-	"Asymptote":                          -7.056175,
-	"AutoHotkey":                         -7.749322,
-	"Awk":                                -7.749322,
-	"Ballerina":                          -6.139885,
-	"BibTeX":                             -7.056175,
-	"BitBake":                            -7.056175,
-	"Blade":                              -7.056175,
-	"BlitzBasic":                         -6.650710,
-	"BlitzMax":                           -7.749322,
-	"Bluespec":                           -7.056175,
-	"Brainfuck":                          -6.139885,
-	"Brightscript":                       -7.749322,
-	"C":                                  -3.706271,
-	"C#":                                 -6.139885,
-	"C++":                                -3.837299,
-	"CLIPS":                              -7.056175,
-	"CMake":                              -5.803412,
-	"COBOL":                              -6.363028,
-	"CSON":                               -6.363028,
-	"CSS":                                -7.056175,
-	"CSV":                                -7.749322,
-	"CWeb":                               -7.749322,
-	"Cabal Config":                       -6.363028,
-	"CartoCSS":                           -7.749322,
-	"Ceylon":                             -7.749322,
-	"Chapel":                             -6.139885,
-	"Charity":                            -7.749322,
-	"Cirru":                              -5.552098,
-	"Clarion":                            -6.363028,
-	"Clean":                              -5.552098,
-	"Click":                              -7.056175,
-	"Clojure":                            -5.552098,
-	"Closure Templates":                  -7.749322,
-	"Cloud Firestore Security Rules":     -7.749322,
-	"CoNLL-U":                            -6.650710,
-	"CodeQL":                             -5.957563,
-	"CoffeeScript":                       -5.446737,
-	"ColdFusion":                         -7.749322,
-	"ColdFusion CFC":                     -7.056175,
-	"Common Lisp":                        -5.552098,
-	"Common Workflow Language":           -7.749322,
-	"Component Pascal":                   -7.056175,
-	"Cool":                               -7.056175,
-	"Coq":                                -5.184373,
-	"Creole":                             -7.749322,
-	"Crystal":                            -6.650710,
-	"Csound":                             -6.650710,
-	"Csound Document":                    -6.650710,
-	"Csound Score":                       -6.650710,
-	"Cuda":                               -7.056175,
-	"Cycript":                            -7.749322,
-	"D":                                  -5.552098,
-	"DIGITAL Command Language":           -6.363028,
-	"DM":                                 -7.749322,
-	"DNS Zone":                           -7.056175,
-	"DTrace":                             -6.650710,
-	"Dart":                               -7.749322,
-	"DataWeave":                          -6.139885,
-	"Dhall":                              -7.056175,
-	"Diff":                               -7.749322,
-	"DirectX 3D File":                    -7.749322,
-	"Dockerfile":                         -7.749322,
-	"Dogescript":                         -7.749322,
-	"E":                                  -5.803412,
-	"EBNF":                               -6.363028,
-	"ECL":                                -7.749322,
-	"ECLiPSe":                            -7.749322,
-	"EJS":                                -7.056175,
-	"EML":                                -7.749322,
-	"EQ":                                 -6.650710,
-	"Eagle":                              -7.056175,
-	"Easybuild":                          -7.749322,
-	"EditorConfig":                       -7.749322,
-	"Edje Data Collection":               -7.749322,
-	"Eiffel":                             -6.650710,
-	"Elixir":                             -7.749322,
-	"Elm":                                -6.650710,
-	"Emacs Lisp":                         -5.351427,
-	"EmberScript":                        -7.749322,
-	"Erlang":                             -5.110265,
-	"F#":                                 -5.669881,
-	"FIGlet Font":                        -7.749322,
-	"FLUX":                               -6.363028,
-	"Fantom":                             -7.056175,
-	"Faust":                              -7.056175,
-	"Filebench WML":                      -7.749322,
-	"Filterscript":                       -7.056175,
-	"Formatted":                          -6.650710,
-	"Forth":                              -4.976734,
-	"Fortran":                            -6.139885,
-	"FreeMarker":                         -7.056175,
-	"Frege":                              -6.363028,
-	"Fstar":                              -7.056175,
-	"G-code":                             -6.650710,
-	"GAML":                               -5.957563,
-	"GAMS":                               -7.749322,
-	"GAP":                                -5.552098,
-	"GCC Machine Description":            -7.749322,
-	"GDB":                                -7.056175,
-	"GDScript":                           -6.363028,
-	"GLSL":                               -4.976734,
-	"GN":                                 -5.351427,
-	"Game Maker Language":                -5.351427,
-	"Genie":                              -7.056175,
-	"Gerber Image":                       -4.916109,
-	"Git Attributes":                     -7.749322,
-	"Git Config":                         -6.650710,
-	"Glyph Bitmap Distribution Format":   -7.749322,
-	"Gnuplot":                            -5.803412,
-	"Go":                                 -6.363028,
-	"Golo":                               -4.453486,
-	"Gosu":                               -6.139885,
-	"Grace":                              -7.056175,
-	"Gradle":                             -7.056175,
-	"Grammatical Framework":              -4.035750,
-	"Graph Modeling Language":            -6.650710,
-	"GraphQL":                            -6.363028,
-	"Graphviz (DOT)":                     -7.056175,
-	"Groovy":                             -5.957563,
-	"Groovy Server Pages":                -6.363028,
-	"HAProxy":                            -6.363028,
-	"HCL":                                -6.139885,
-	"HLSL":                               -6.139885,
-	"HTML":                               -5.803412,
-	"HTML+Django":                        -7.056175,
-	"HTML+ECR":                           -7.749322,
-	"HTML+EEX":                           -7.749322,
-	"HTML+ERB":                           -7.056175,
-	"HTML+Razor":                         -7.056175,
-	"HXML":                               -7.056175,
-	"Hack":                               -4.348125,
-	"Haml":                               -7.056175,
-	"Handlebars":                         -7.056175,
-	"Haskell":                            -6.139885,
-	"HiveQL":                             -7.056175,
-	"HolyC":                              -6.139885,
-	"Hy":                                 -6.650710,
-	"HyPhy":                              -5.669881,
-	"IDL":                                -6.363028,
-	"IGOR Pro":                           -6.650710,
-	"INI":                                -5.669881,
-	"Idris":                              -7.749322,
-	"Ignore List":                        -4.976734,
-	"Inform 7":                           -7.056175,
-	"Inno Setup":                         -7.749322,
-	"Ioke":                               -7.749322,
-	"Isabelle":                           -7.749322,
-	"Isabelle ROOT":                      -7.749322,
-	"J":                                  -7.056175,
-	"JFlex":                              -7.056175,
-	"JSON":                               -4.571269,
-	"JSON with Comments":                 -4.704800,
-	"JSON5":                              -7.056175,
-	"JSONLD":                             -7.749322,
-	"JSONiq":                             -7.056175,
-	"JSX":                                -7.749322,
-	"Jasmin":                             -5.669881,
-	"Java":                               -5.552098,
-	"Java Properties":                    -7.056175,
-	"JavaScript":                         -4.085761,
-	"JavaScript+ERB":                     -7.749322,
-	"Jison":                              -6.650710,
-	"Jison Lex":                          -7.056175,
-	"Jolie":                              -6.139885,
-	"Jsonnet":                            -7.749322,
-	"Julia":                              -7.056175,
-	"Jupyter Notebook":                   -7.749322,
-	"KRL":                                -7.749322,
-	"KiCad Layout":                       -4.976734,
-	"KiCad Legacy Layout":                -7.749322,
-	"KiCad Schematic":                    -5.957563,
-	"Kit":                                -7.749322,
-	"Kotlin":                             -7.749322,
-	"LFE":                                -6.363028,
-	"LOLCODE":                            -7.749322,
-	"LSL":                                -7.056175,
-	"LTspice Symbol":                     -7.749322,
-	"Lasso":                              -6.650710,
-	"Latte":                              -7.056175,
-	"Lean":                               -7.056175,
-	"Less":                               -7.749322,
-	"Lex":                                -7.749322,
-	"Limbo":                              -6.650710,
-	"Linker Script":                      -6.363028,
-	"Linux Kernel Module":                -6.650710,
-	"Liquid":                             -7.056175,
-	"Literate Agda":                      -7.749322,
-	"Literate CoffeeScript":              -7.749322,
-	"LiveScript":                         -7.749322,
-	"Logos":                              -6.139885,
-	"Logtalk":                            -7.749322,
-	"LookML":                             -6.650710,
-	"LoomScript":                         -7.056175,
-	"Lua":                                -5.803412,
-	"M":                                  -4.382027,
-	"M4":                                 -7.749322,
-	"M4Sugar":                            -6.650710,
-	"MATLAB":                             -4.085761,
-	"MAXScript":                          -6.139885,
-	"MLIR":                               -6.363028,
-	"MQL4":                               -6.650710,
-	"MQL5":                               -6.650710,
-	"MTML":                               -7.749322,
-	"MUF":                                -7.056175,
-	"Makefile":                           -5.264416,
-	"Markdown":                           -5.552098,
-	"Marko":                              -6.650710,
-	"Mask":                               -7.749322,
-	"Mathematica":                        -5.264416,
-	"Maven POM":                          -7.749322,
-	"Max":                                -6.650710,
-	"MediaWiki":                          -7.056175,
-	"Mercury":                            -5.446737,
-	"Meson":                              -7.056175,
-	"Metal":                              -7.749322,
-	"Microsoft Developer Studio Project": -7.749322,
-	"Modelica":                           -5.264416,
-	"Modula-2":                           -7.749322,
-	"Modula-3":                           -6.139885,
-	"Module Management System":           -6.139885,
-	"Monkey":                             -6.139885,
-	"Moocode":                            -6.650710,
-	"MoonScript":                         -7.749322,
-	"Motorola 68K Assembly":              -5.957563,
-	"Muse":                               -7.056175,
-	"NASL":                               -5.446737,
-	"NCL":                                -4.976734,
-	"NL":                                 -7.056175,
-	"NPM Config":                         -7.749322,
-	"NSIS":                               -7.056175,
-	"Nearley":                            -7.749322,
-	"Nemerle":                            -7.749322,
-	"NetLinx":                            -7.056175,
-	"NetLinx+ERB":                        -7.056175,
-	"NetLogo":                            -7.749322,
-	"NewLisp":                            -6.650710,
-	"Nextflow":                           -6.363028,
-	"Nginx":                              -7.056175,
-	"Nim":                                -6.139885,
-	"Nit":                                -4.571269,
-	"Nix":                                -7.749322,
-	"Nu":                                 -7.056175,
-	"OCaml":                              -5.446737,
-	"Object Data Instance Notation":      -7.749322,
-	"ObjectScript":                       -7.749322,
-	"Objective-C":                        -4.658280,
-	"Objective-C++":                      -7.056175,
-	"Objective-J":                        -6.650710,
-	"Odin":                               -7.749322,
-	"Omgrofl":                            -7.749322,
-	"Opa":                                -7.056175,
-	"Opal":                               -7.749322,
-	"Open Policy Agent":                  -6.363028,
-	"OpenCL":                             -7.056175,
-	"OpenEdge ABL":                       -5.957563,
-	"OpenQASM":                           -7.749322,
-	"OpenRC runscript":                   -7.749322,
-	"OpenSCAD":                           -7.056175,
-	"OpenStep Property List":             -7.749322,
-	"Org":                                -7.749322,
-	"Ox":                                 -6.650710,
-	"Oxygene":                            -7.749322,
-	"Oz":                                 -7.749322,
-	"P4":                                 -7.056175,
-	"PHP":                                -4.858951,
-	"PLSQL":                              -5.669881,
-	"PLpgSQL":                            -5.803412,
-	"POV-Ray SDL":                        -5.264416,
-	"Pan":                                -4.858951,
-	"Papyrus":                            -6.650710,
-	"Parrot Assembly":                    -7.749322,
-	"Parrot Internal Representation":     -7.749322,
-	"Pascal":                             -5.446737,
-	"Pawn":                               -5.957563,
-	"Pep8":                               -5.803412,
-	"Perl":                               -4.571269,
-	"Pic":                                -6.650710,
-	"Pickle":                             -6.363028,
-	"PicoLisp":                           -7.749322,
-	"PigLatin":                           -7.749322,
-	"Pike":                               -6.650710,
-	"PlantUML":                           -5.803412,
-	"Pod":                                -6.363028,
-	"Pod 6":                              -7.749322,
-	"PogoScript":                         -7.749322,
-	"Pony":                               -5.957563,
-	"PostCSS":                            -7.056175,
-	"PostScript":                         -6.650710,
-	"PowerBuilder":                       -5.957563,
-	"PowerShell":                         -6.363028,
-	"Prisma":                             -6.139885,
-	"Processing":                         -7.749322,
-	"Proguard":                           -6.650710,
-	"Prolog":                             -5.552098,
-	"Propeller Spin":                     -5.446737,
-	"Protocol Buffer":                    -7.749322,
-	"Public Key":                         -5.803412,
-	"Pug":                                -7.056175,
-	"Puppet":                             -6.139885,
-	"PureBasic":                          -7.056175,
-	"PureScript":                         -6.363028,
-	"Python":                             -4.613828,
-	"QML":                                -7.749322,
-	"QMake":                              -6.363028,
-	"Quake":                              -7.056175,
-	"R":                                  -5.669881,
-	"RAML":                               -7.749322,
-	"RDoc":                               -7.749322,
-	"REXX":                               -6.363028,
-	"RMarkdown":                          -7.749322,
-	"RPC":                                -6.650710,
-	"RPM Spec":                           -6.650710,
-	"RUNOFF":                             -6.363028,
-	"Racket":                             -7.056175,
-	"Ragel":                              -6.650710,
-	"Raku":                               -4.658280,
-	"Rascal":                             -6.363028,
-	"Readline Config":                    -7.749322,
-	"Reason":                             -6.139885,
-	"Rebol":                              -5.957563,
-	"Red":                                -7.056175,
-	"Regular Expression":                 -6.363028,
-	"Ren'Py":                             -7.749322,
-	"RenderScript":                       -7.056175,
-	"Rich Text Format":                   -7.056175,
-	"Ring":                               -6.363028,
-	"Riot":                               -7.056175,
-	"RobotFramework":                     -6.650710,
-	"Roff":                               -4.453486,
-	"Roff Manpage":                       -4.704800,
-	"Ruby":                               -4.252815,
-	"Rust":                               -6.650710,
-	"SAS":                                -6.650710,
-	"SCSS":                               -7.749322,
-	"SMT":                                -6.363028,
-	"SPARQL":                             -7.056175,
-	"SQF":                                -7.056175,
-	"SQL":                                -5.264416,
-	"SQLPL":                              -5.957563,
-	"SRecode Template":                   -7.749322,
-	"SSH Config":                         -5.957563,
-	"STON":                               -5.803412,
-	"SWIG":                               -6.650710,
-	"Sage":                               -7.749322,
-	"SaltStack":                          -5.957563,
-	"Sass":                               -7.749322,
-	"Scala":                              -5.803412,
-	"Scaml":                              -7.749322,
-	"Scheme":                             -6.363028,
-	"Scilab":                             -6.650710,
-	"ShaderLab":                          -6.650710,
-	"Shell":                              -3.942660,
-	"ShellSession":                       -6.650710,
-	"Shen":                               -6.650710,
-	"Slash":                              -7.749322,
-	"Slice":                              -6.650710,
-	"Slim":                               -7.749322,
-	"SmPL":                               -7.749322,
-	"Smali":                              -5.803412,
-	"Smalltalk":                          -5.446737,
-	"SourcePawn":                         -7.056175,
-	"Squirrel":                           -7.749322,
-	"Stan":                               -6.650710,
-	"Standard ML":                        -6.139885,
-	"Starlark":                           -6.139885,
-	"Stata":                              -5.803412,
-	"Stylus":                             -7.749322,
-	"SubRip Text":                        -7.749322,
-	"SugarSS":                            -7.749322,
-	"SuperCollider":                      -6.139885,
-	"Svelte":                             -7.749322,
-	"Swift":                              -3.988122,
-	"SystemVerilog":                      -6.363028,
-	"TI Program":                         -6.363028,
-	"TLA":                                -7.056175,
-	"TOML":                               -7.056175,
-	"TSQL":                               -6.363028,
-	"TSX":                                -6.363028,
-	"TXL":                                -7.749322,
-	"Tcl":                                -6.363028,
-	"Tcsh":                               -7.749322,
-	"TeX":                                -5.803412,
-	"Tea":                                -7.749322,
-	"Terra":                              -6.650710,
-	"Texinfo":                            -7.749322,
-	"Text":                               -4.491226,
-	"Thrift":                             -7.749322,
-	"Turing":                             -7.056175,
-	"Turtle":                             -7.056175,
-	"Type Language":                      -7.056175,
-	"TypeScript":                         -6.650710,
-	"Unity3D Asset":                      -5.957563,
-	"Unix Assembly":                      -7.056175,
-	"Uno":                                -6.650710,
-	"UnrealScript":                       -7.056175,
-	"UrWeb":                              -7.056175,
-	"V":                                  -5.552098,
-	"VBA":                                -6.363028,
-	"VBScript":                           -7.749322,
-	"VCL":                                -7.056175,
-	"VHDL":                               -7.749322,
-	"Verilog":                            -5.184373,
-	"Vim Snippet":                        -7.056175,
-	"Vim script":                         -5.803412,
-	"Visual Basic .NET":                  -6.650710,
-	"Volt":                               -7.749322,
-	"Vue":                                -7.056175,
-	"Wavefront Material":                 -6.363028,
-	"Wavefront Object":                   -6.139885,
-	"Web Ontology Language":              -7.749322,
-	"WebAssembly":                        -5.957563,
-	"WebIDL":                             -7.056175,
-	"WebVTT":                             -7.056175,
-	"Wget Config":                        -7.749322,
-	"Windows Registry Entries":           -7.749322,
-	"Wollok":                             -7.056175,
-	"World of Warcraft Addon Data":       -6.650710,
-	"X BitMap":                           -7.749322,
-	"X Font Directory Index":             -6.363028,
-	"X PixMap":                           -7.056175,
-	"X10":                                -4.858951,
-	"XC":                                 -7.749322,
-	"XCompose":                           -7.749322,
-	"XML":                                -3.590439,
-	"XML Property List":                  -5.803412,
-	"XPages":                             -7.056175,
-	"XProc":                              -7.749322,
-	"XQuery":                             -7.749322,
-	"XS":                                 -7.749322,
-	"XSLT":                               -7.749322,
-	"Xojo":                               -5.957563,
-	"Xtend":                              -7.056175,
-	"YAML":                               -5.264416,
-	"YANG":                               -7.749322,
-	"YARA":                               -6.650710,
-	"YASnippet":                          -7.056175,
-	"Yacc":                               -7.749322,
-	"ZAP":                                -7.749322,
-	"ZIL":                                -7.749322,
-	"Zeek":                               -6.650710,
-	"ZenScript":                          -7.749322,
-	"Zephir":                             -7.056175,
-	"Zig":                                -6.650710,
-	"Zimpl":                              -7.749322,
-	"cURL Config":                        -7.749322,
-	"desktop":                            -7.749322,
-	"dircolors":                          -7.749322,
-	"eC":                                 -7.749322,
-	"edn":                                -7.749322,
-	"fish":                               -6.650710,
-	"mIRC Script":                        -6.363028,
-	"mcfunction":                         -7.749322,
-	"nanorc":                             -6.650710,
-	"q":                                  -7.056175,
-	"reStructuredText":                   -7.749322,
-	"sed":                                -7.749322,
-	"wdl":                                -6.650710,
-	"wisp":                               -7.749322,
-	"xBase":                              -6.650710,
+	"1C Enterprise":                      -5.995623,
+	"4D":                                 -6.401088,
+	"ABAP":                               -7.787382,
+	"ABNF":                               -7.787382,
+	"AGS Script":                         -6.401088,
+	"AL":                                 -6.688770,
+	"AMPL":                               -7.094235,
+	"API Blueprint":                      -6.688770,
+	"APL":                                -6.688770,
+	"ASL":                                -7.094235,
+	"ASN.1":                              -7.787382,
+	"ASP.NET":                            -6.401088,
+	"ATS":                                -5.590157,
+	"ActionScript":                       -6.401088,
+	"Adobe Font Metrics":                 -6.688770,
+	"Agda":                               -7.787382,
+	"Alloy":                              -6.688770,
+	"Alpine Abuild":                      -7.787382,
+	"Altium Designer":                    -6.401088,
+	"AngelScript":                        -7.094235,
+	"Ant Build System":                   -7.787382,
+	"ApacheConf":                         -6.401088,
+	"Apex":                               -5.995623,
+	"Apollo Guidance Computer":           -7.787382,
+	"AppleScript":                        -5.841472,
+	"AsciiDoc":                           -6.688770,
+	"AspectJ":                            -7.094235,
+	"Assembly":                           -5.590157,
+	"Asymptote":                          -7.094235,
+	"AutoHotkey":                         -7.787382,
+	"Avro IDL":                           -7.787382,
+	"Awk":                                -7.787382,
+	"Ballerina":                          -6.177944,
+	"BibTeX":                             -7.094235,
+	"BitBake":                            -7.094235,
+	"Blade":                              -7.094235,
+	"BlitzBasic":                         -6.688770,
+	"BlitzMax":                           -7.787382,
+	"Bluespec":                           -7.094235,
+	"Brainfuck":                          -6.177944,
+	"Brightscript":                       -7.787382,
+	"C":                                  -3.744331,
+	"C#":                                 -5.995623,
+	"C++":                                -3.875359,
+	"CLIPS":                              -7.094235,
+	"CMake":                              -5.841472,
+	"COBOL":                              -6.401088,
+	"CSON":                               -6.401088,
+	"CSS":                                -7.094235,
+	"CSV":                                -7.787382,
+	"CWeb":                               -7.787382,
+	"Cabal Config":                       -6.401088,
+	"CartoCSS":                           -7.787382,
+	"Ceylon":                             -7.787382,
+	"Chapel":                             -6.177944,
+	"Charity":                            -7.787382,
+	"Cirru":                              -5.148325,
+	"Clarion":                            -6.401088,
+	"Classic ASP":                        -7.094235,
+	"Clean":                              -5.590157,
+	"Click":                              -7.094235,
+	"Clojure":                            -5.590157,
+	"Closure Templates":                  -7.787382,
+	"Cloud Firestore Security Rules":     -7.787382,
+	"CoNLL-U":                            -6.688770,
+	"CodeQL":                             -5.995623,
+	"CoffeeScript":                       -5.484797,
+	"ColdFusion":                         -7.787382,
+	"ColdFusion CFC":                     -7.094235,
+	"Common Lisp":                        -5.590157,
+	"Common Workflow Language":           -7.787382,
+	"Component Pascal":                   -7.094235,
+	"Cool":                               -7.094235,
+	"Coq":                                -5.222433,
+	"Creole":                             -7.787382,
+	"Crystal":                            -6.688770,
+	"Csound":                             -6.688770,
+	"Csound Document":                    -6.688770,
+	"Csound Score":                       -6.688770,
+	"Cuda":                               -7.094235,
+	"Cycript":                            -7.787382,
+	"D":                                  -5.590157,
+	"DIGITAL Command Language":           -6.401088,
+	"DM":                                 -7.787382,
+	"DNS Zone":                           -7.094235,
+	"DTrace":                             -6.688770,
+	"Dafny":                              -7.094235,
+	"Dart":                               -7.787382,
+	"DataWeave":                          -6.177944,
+	"Dhall":                              -7.094235,
+	"Diff":                               -7.787382,
+	"DirectX 3D File":                    -7.787382,
+	"Dockerfile":                         -7.787382,
+	"Dogescript":                         -7.787382,
+	"E":                                  -5.841472,
+	"EBNF":                               -6.401088,
+	"ECL":                                -7.787382,
+	"ECLiPSe":                            -7.787382,
+	"EJS":                                -6.401088,
+	"EML":                                -7.787382,
+	"EQ":                                 -6.688770,
+	"Eagle":                              -7.094235,
+	"Easybuild":                          -7.787382,
+	"EditorConfig":                       -7.787382,
+	"Edje Data Collection":               -7.787382,
+	"Eiffel":                             -6.688770,
+	"Elixir":                             -7.787382,
+	"Elm":                                -6.688770,
+	"Emacs Lisp":                         -5.389487,
+	"EmberScript":                        -7.787382,
+	"Erlang":                             -5.148325,
+	"F#":                                 -5.707940,
+	"FIGlet Font":                        -7.787382,
+	"FLUX":                               -6.401088,
+	"Fantom":                             -7.094235,
+	"Faust":                              -7.094235,
+	"Filebench WML":                      -7.787382,
+	"Filterscript":                       -7.094235,
+	"Formatted":                          -6.688770,
+	"Forth":                              -5.014793,
+	"Fortran":                            -6.177944,
+	"FreeMarker":                         -7.094235,
+	"Frege":                              -6.401088,
+	"Fstar":                              -7.094235,
+	"Futhark":                            -7.787382,
+	"G-code":                             -6.688770,
+	"GAML":                               -5.995623,
+	"GAMS":                               -7.787382,
+	"GAP":                                -5.590157,
+	"GCC Machine Description":            -7.787382,
+	"GDB":                                -7.094235,
+	"GDScript":                           -6.401088,
+	"GEDCOM":                             -7.787382,
+	"GLSL":                               -5.014793,
+	"GN":                                 -5.389487,
+	"Game Maker Language":                -5.389487,
+	"Genie":                              -6.401088,
+	"Gerber Image":                       -4.954169,
+	"Gherkin":                            -7.094235,
+	"Git Attributes":                     -7.787382,
+	"Git Config":                         -6.688770,
+	"Glyph Bitmap Distribution Format":   -7.787382,
+	"Gnuplot":                            -5.841472,
+	"Go":                                 -6.401088,
+	"Golo":                               -4.491545,
+	"Gosu":                               -6.177944,
+	"Grace":                              -7.094235,
+	"Gradle":                             -7.094235,
+	"Grammatical Framework":              -4.073810,
+	"Graph Modeling Language":            -6.688770,
+	"GraphQL":                            -6.401088,
+	"Graphviz (DOT)":                     -7.094235,
+	"Groovy":                             -5.995623,
+	"Groovy Server Pages":                -6.401088,
+	"HAProxy":                            -6.401088,
+	"HCL":                                -5.995623,
+	"HLSL":                               -6.177944,
+	"HTML":                               -5.841472,
+	"HTML+Django":                        -6.688770,
+	"HTML+ECR":                           -7.787382,
+	"HTML+EEX":                           -7.094235,
+	"HTML+ERB":                           -6.688770,
+	"HTML+Razor":                         -7.094235,
+	"HXML":                               -7.094235,
+	"Hack":                               -4.386185,
+	"Haml":                               -7.094235,
+	"Handlebars":                         -7.094235,
+	"Haskell":                            -6.177944,
+	"HiveQL":                             -7.094235,
+	"HolyC":                              -6.177944,
+	"Hy":                                 -6.688770,
+	"HyPhy":                              -5.707940,
+	"IDL":                                -6.401088,
+	"IGOR Pro":                           -6.688770,
+	"INI":                                -5.707940,
+	"Idris":                              -7.787382,
+	"Ignore List":                        -5.014793,
+	"Inform 7":                           -7.094235,
+	"Inno Setup":                         -7.094235,
+	"Ioke":                               -7.787382,
+	"Isabelle":                           -7.787382,
+	"Isabelle ROOT":                      -7.787382,
+	"J":                                  -7.094235,
+	"JFlex":                              -7.094235,
+	"JSON":                               -4.609328,
+	"JSON with Comments":                 -4.651888,
+	"JSON5":                              -7.094235,
+	"JSONLD":                             -7.787382,
+	"JSONiq":                             -7.094235,
+	"JSX":                                -7.787382,
+	"Jasmin":                             -5.707940,
+	"Java":                               -5.484797,
+	"Java Properties":                    -7.094235,
+	"JavaScript":                         -4.123820,
+	"JavaScript+ERB":                     -7.787382,
+	"Jison":                              -6.688770,
+	"Jison Lex":                          -7.094235,
+	"Jolie":                              -6.177944,
+	"Jsonnet":                            -7.787382,
+	"Julia":                              -7.094235,
+	"Jupyter Notebook":                   -7.787382,
+	"KRL":                                -7.787382,
+	"Kaitai Struct":                      -7.094235,
+	"KiCad Layout":                       -5.014793,
+	"KiCad Legacy Layout":                -7.787382,
+	"KiCad Schematic":                    -5.995623,
+	"Kit":                                -7.787382,
+	"Kotlin":                             -7.787382,
+	"LFE":                                -6.401088,
+	"LOLCODE":                            -7.787382,
+	"LSL":                                -7.094235,
+	"LTspice Symbol":                     -7.787382,
+	"LabVIEW":                            -5.484797,
+	"Lark":                               -6.688770,
+	"Lasso":                              -6.688770,
+	"Latte":                              -7.094235,
+	"Lean":                               -7.094235,
+	"Less":                               -7.787382,
+	"Lex":                                -7.094235,
+	"Limbo":                              -6.688770,
+	"Linker Script":                      -6.401088,
+	"Linux Kernel Module":                -6.688770,
+	"Liquid":                             -7.094235,
+	"Literate Agda":                      -7.787382,
+	"Literate CoffeeScript":              -7.094235,
+	"LiveScript":                         -7.787382,
+	"Logos":                              -6.177944,
+	"Logtalk":                            -7.787382,
+	"LookML":                             -6.688770,
+	"LoomScript":                         -7.094235,
+	"Lua":                                -5.841472,
+	"M":                                  -4.420086,
+	"M4":                                 -7.787382,
+	"M4Sugar":                            -6.688770,
+	"MATLAB":                             -4.123820,
+	"MAXScript":                          -6.177944,
+	"MLIR":                               -6.401088,
+	"MQL4":                               -6.688770,
+	"MQL5":                               -6.688770,
+	"MTML":                               -7.787382,
+	"MUF":                                -7.094235,
+	"Macaulay2":                          -7.787382,
+	"Makefile":                           -5.302475,
+	"Markdown":                           -5.590157,
+	"Marko":                              -6.688770,
+	"Mask":                               -7.787382,
+	"Mathematica":                        -5.302475,
+	"Maven POM":                          -7.787382,
+	"Max":                                -6.688770,
+	"MediaWiki":                          -7.094235,
+	"Mercury":                            -5.484797,
+	"Meson":                              -7.094235,
+	"Metal":                              -7.787382,
+	"Microsoft Developer Studio Project": -7.787382,
+	"Modelica":                           -5.302475,
+	"Modula-2":                           -7.787382,
+	"Modula-3":                           -6.177944,
+	"Module Management System":           -6.177944,
+	"Monkey":                             -6.177944,
+	"Moocode":                            -6.688770,
+	"MoonScript":                         -7.787382,
+	"Motorola 68K Assembly":              -5.995623,
+	"Muse":                               -7.094235,
+	"Mustache":                           -6.401088,
+	"NASL":                               -5.484797,
+	"NCL":                                -5.014793,
+	"NEON":                               -7.094235,
+	"NL":                                 -7.094235,
+	"NPM Config":                         -7.787382,
+	"NSIS":                               -7.094235,
+	"NWScript":                           -5.995623,
+	"Nearley":                            -7.787382,
+	"Nemerle":                            -7.787382,
+	"NetLinx":                            -7.094235,
+	"NetLinx+ERB":                        -7.094235,
+	"NetLogo":                            -7.787382,
+	"NewLisp":                            -6.688770,
+	"Nextflow":                           -6.401088,
+	"Nginx":                              -6.688770,
+	"Nim":                                -6.177944,
+	"Nit":                                -4.609328,
+	"Nix":                                -7.787382,
+	"Nu":                                 -7.094235,
+	"OCaml":                              -5.484797,
+	"Object Data Instance Notation":      -7.787382,
+	"ObjectScript":                       -7.787382,
+	"Objective-C":                        -4.696340,
+	"Objective-C++":                      -7.094235,
+	"Objective-J":                        -6.688770,
+	"Odin":                               -7.787382,
+	"Omgrofl":                            -7.787382,
+	"Opa":                                -7.094235,
+	"Opal":                               -7.787382,
+	"Open Policy Agent":                  -6.401088,
+	"OpenCL":                             -7.094235,
+	"OpenEdge ABL":                       -5.995623,
+	"OpenQASM":                           -7.787382,
+	"OpenRC runscript":                   -7.787382,
+	"OpenSCAD":                           -7.094235,
+	"OpenStep Property List":             -7.787382,
+	"Org":                                -7.787382,
+	"Ox":                                 -6.688770,
+	"Oxygene":                            -7.787382,
+	"Oz":                                 -7.787382,
+	"P4":                                 -7.094235,
+	"PHP":                                -4.842943,
+	"PLSQL":                              -5.707940,
+	"PLpgSQL":                            -5.841472,
+	"POV-Ray SDL":                        -5.302475,
+	"Pan":                                -4.897010,
+	"Papyrus":                            -6.688770,
+	"Parrot Assembly":                    -7.787382,
+	"Parrot Internal Representation":     -7.787382,
+	"Pascal":                             -5.302475,
+	"Pawn":                               -5.995623,
+	"Pep8":                               -5.841472,
+	"Perl":                               -4.609328,
+	"Pic":                                -6.688770,
+	"Pickle":                             -6.401088,
+	"PicoLisp":                           -7.787382,
+	"PigLatin":                           -7.787382,
+	"Pike":                               -6.688770,
+	"PlantUML":                           -5.841472,
+	"Pod":                                -6.401088,
+	"Pod 6":                              -7.787382,
+	"PogoScript":                         -7.787382,
+	"Pony":                               -5.995623,
+	"PostCSS":                            -7.094235,
+	"PostScript":                         -6.688770,
+	"PowerBuilder":                       -5.995623,
+	"PowerShell":                         -6.401088,
+	"Prisma":                             -6.177944,
+	"Processing":                         -7.787382,
+	"Proguard":                           -6.688770,
+	"Prolog":                             -5.590157,
+	"Propeller Spin":                     -5.484797,
+	"Protocol Buffer":                    -7.787382,
+	"Public Key":                         -5.841472,
+	"Pug":                                -7.094235,
+	"Puppet":                             -6.177944,
+	"PureBasic":                          -7.094235,
+	"PureScript":                         -6.401088,
+	"Python":                             -4.651888,
+	"Q#":                                 -7.094235,
+	"QML":                                -7.787382,
+	"QMake":                              -6.401088,
+	"Qt Script":                          -7.094235,
+	"Quake":                              -7.094235,
+	"R":                                  -5.707940,
+	"RAML":                               -7.787382,
+	"RDoc":                               -7.787382,
+	"REXX":                               -6.401088,
+	"RMarkdown":                          -7.787382,
+	"RPC":                                -6.688770,
+	"RPM Spec":                           -6.688770,
+	"RUNOFF":                             -6.401088,
+	"Racket":                             -7.094235,
+	"Ragel":                              -6.688770,
+	"Raku":                               -4.696340,
+	"Rascal":                             -6.401088,
+	"ReScript":                           -7.787382,
+	"Readline Config":                    -7.787382,
+	"Reason":                             -5.995623,
+	"Rebol":                              -5.995623,
+	"Red":                                -7.094235,
+	"Regular Expression":                 -6.401088,
+	"Ren'Py":                             -7.787382,
+	"RenderScript":                       -7.094235,
+	"Rich Text Format":                   -7.094235,
+	"Ring":                               -6.401088,
+	"Riot":                               -7.094235,
+	"RobotFramework":                     -6.688770,
+	"Roff":                               -4.954169,
+	"Roff Manpage":                       -5.302475,
+	"Ruby":                               -4.261022,
+	"Rust":                               -6.688770,
+	"SAS":                                -6.688770,
+	"SCSS":                               -7.787382,
+	"SMT":                                -6.401088,
+	"SPARQL":                             -7.094235,
+	"SQF":                                -7.094235,
+	"SQL":                                -5.148325,
+	"SQLPL":                              -5.995623,
+	"SRecode Template":                   -7.787382,
+	"SSH Config":                         -5.995623,
+	"STON":                               -5.841472,
+	"SWIG":                               -6.688770,
+	"Sage":                               -7.787382,
+	"SaltStack":                          -5.995623,
+	"Sass":                               -7.787382,
+	"Scala":                              -5.841472,
+	"Scaml":                              -7.787382,
+	"Scheme":                             -6.401088,
+	"Scilab":                             -6.688770,
+	"ShaderLab":                          -6.688770,
+	"Shell":                              -3.895562,
+	"ShellSession":                       -6.688770,
+	"Shen":                               -6.688770,
+	"Sieve":                              -5.389487,
+	"Slash":                              -7.787382,
+	"Slice":                              -6.688770,
+	"Slim":                               -7.787382,
+	"SmPL":                               -7.787382,
+	"Smali":                              -5.841472,
+	"Smalltalk":                          -5.484797,
+	"SourcePawn":                         -7.094235,
+	"Squirrel":                           -7.787382,
+	"Stan":                               -6.688770,
+	"Standard ML":                        -6.177944,
+	"Starlark":                           -5.995623,
+	"Stata":                              -5.841472,
+	"Stylus":                             -7.787382,
+	"SubRip Text":                        -7.787382,
+	"SugarSS":                            -7.787382,
+	"SuperCollider":                      -6.177944,
+	"Svelte":                             -7.787382,
+	"Swift":                              -4.026182,
+	"SystemVerilog":                      -6.401088,
+	"TI Program":                         -6.401088,
+	"TLA":                                -7.094235,
+	"TOML":                               -6.688770,
+	"TSQL":                               -6.401088,
+	"TSV":                                -7.787382,
+	"TSX":                                -6.401088,
+	"TXL":                                -7.787382,
+	"Tcl":                                -6.401088,
+	"Tcsh":                               -7.787382,
+	"TeX":                                -5.841472,
+	"Tea":                                -7.787382,
+	"Terra":                              -6.688770,
+	"Texinfo":                            -7.787382,
+	"Text":                               -4.491545,
+	"Thrift":                             -7.787382,
+	"Turing":                             -6.401088,
+	"Turtle":                             -7.094235,
+	"Type Language":                      -7.094235,
+	"TypeScript":                         -6.688770,
+	"Unity3D Asset":                      -5.995623,
+	"Unix Assembly":                      -6.401088,
+	"Uno":                                -6.688770,
+	"UnrealScript":                       -7.094235,
+	"UrWeb":                              -7.094235,
+	"V":                                  -5.590157,
+	"VBA":                                -6.401088,
+	"VBScript":                           -7.787382,
+	"VCL":                                -7.094235,
+	"VHDL":                               -7.787382,
+	"Verilog":                            -5.148325,
+	"Vim Help File":                      -7.787382,
+	"Vim Snippet":                        -7.094235,
+	"Vim script":                         -5.707940,
+	"Visual Basic .NET":                  -6.688770,
+	"Volt":                               -7.787382,
+	"Vue":                                -7.094235,
+	"Wavefront Material":                 -6.401088,
+	"Wavefront Object":                   -6.177944,
+	"Web Ontology Language":              -7.787382,
+	"WebAssembly":                        -5.995623,
+	"WebIDL":                             -7.094235,
+	"WebVTT":                             -7.094235,
+	"Wget Config":                        -7.787382,
+	"Windows Registry Entries":           -7.787382,
+	"Wollok":                             -7.094235,
+	"World of Warcraft Addon Data":       -6.688770,
+	"X BitMap":                           -7.787382,
+	"X Font Directory Index":             -6.401088,
+	"X PixMap":                           -7.094235,
+	"X10":                                -4.897010,
+	"XC":                                 -7.787382,
+	"XCompose":                           -7.787382,
+	"XML":                                -3.597727,
+	"XML Property List":                  -5.841472,
+	"XPages":                             -7.094235,
+	"XProc":                              -7.787382,
+	"XQuery":                             -7.787382,
+	"XS":                                 -7.787382,
+	"XSLT":                               -7.787382,
+	"Xojo":                               -5.995623,
+	"Xtend":                              -7.094235,
+	"YAML":                               -5.302475,
+	"YANG":                               -7.787382,
+	"YARA":                               -6.688770,
+	"YASnippet":                          -7.094235,
+	"Yacc":                               -7.787382,
+	"ZAP":                                -7.787382,
+	"ZIL":                                -7.787382,
+	"Zeek":                               -6.688770,
+	"ZenScript":                          -7.787382,
+	"Zephir":                             -7.094235,
+	"Zig":                                -6.688770,
+	"Zimpl":                              -7.787382,
+	"cURL Config":                        -7.787382,
+	"desktop":                            -7.787382,
+	"dircolors":                          -7.787382,
+	"eC":                                 -7.787382,
+	"edn":                                -7.787382,
+	"fish":                               -6.688770,
+	"mIRC Script":                        -6.401088,
+	"mcfunction":                         -7.787382,
+	"nanorc":                             -6.688770,
+	"q":                                  -7.094235,
+	"reStructuredText":                   -7.787382,
+	"sed":                                -7.787382,
+	"wdl":                                -6.688770,
+	"wisp":                               -7.787382,
+	"xBase":                              -6.688770,
 }
 
 var TokensLogProbabilities = map[string]map[string]float64{
@@ -1324,6 +1346,111 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"||":                                   -5.531951,
 		"}":                                    -3.560399,
 	},
+	"AL": map[string]float64{
+		"$":               -5.365976,
+		"%":               -3.756538,
+		"'":               -4.267364,
+		"(":               -3.420066,
+		")":               -3.286534,
+		",":               -4.672829,
+		"-":               -3.979682,
+		".user.login":     -5.365976,
+		"//RefreshIssues": -5.365976,
+		"//Sample":        -4.267364,
+		"//api.github.com/repos/Microsoft/AL/issues": -5.365976,
+		"//if":                    -5.365976,
+		":":                       -3.756538,
+		";":                       -2.274934,
+		"=":                       -3.979682,
+		"AL":                      -5.365976,
+		"ALIssue":                 -5.365976,
+		"ALIssueList":             -5.365976,
+		"Agent":                   -5.365976,
+		"Arend":                   -4.267364,
+		"Clustered":               -5.365976,
+		"Codeunit":                -5.365976,
+		"Could":                   -4.267364,
+		"Created":                 -5.365976,
+		"CurrPage.Update":         -5.365976,
+		"Description":             -5.365976,
+		"Dynamics":                -5.365976,
+		"FindFirst":               -4.672829,
+		"ID":                      -5.365976,
+		"Image":                   -5.365976,
+		"Invalid":                 -5.365976,
+		"Issues":                  -4.672829,
+		"JSON":                    -5.365976,
+		"Jan":                     -4.267364,
+		"Kauffmann":               -4.267364,
+		"Number":                  -5.365976,
+		"OnAction":                -5.365976,
+		"OnOpenPage":              -5.365976,
+		"PK":                      -5.365976,
+		"Path":                    -5.365976,
+		"Process":                 -5.365976,
+		"Promoted":                -5.365976,
+		"PromotedCategory":        -5.365976,
+		"Refresh":                 -5.365976,
+		"RefreshALIssueCode":      -4.672829,
+		"RefreshALIssues":         -5.365976,
+		"RefreshALIssues.Refresh": -5.365976,
+		"RefreshIssues":           -4.672829,
+		"RefreshLines":            -5.365976,
+		"State":                   -5.365976,
+		"Status":                  -5.365976,
+		"The":                     -4.672829,
+		"Title":                   -5.365976,
+		"URL":                     -5.365976,
+		"User":                    -4.672829,
+		"\\":                      -4.267364,
+		"a":                       -4.267364,
+		"an":                      -4.672829,
+		"array":                   -5.365976,
+		"as":                      -5.365976,
+		"at":                      -5.365976,
+		"begin":                   -4.267364,
+		"call":                    -5.365976,
+		"code":                    -3.979682,
+		"codeunit":                -5.365976,
+		"created_at":              -5.365976,
+		"end":                     -3.979682,
+		"error":                   -5.365976,
+		"expected":                -5.365976,
+		"failed.":                 -5.365976,
+		"find":                    -4.267364,
+		"from":                    -4.267364,
+		"html_url":                -5.365976,
+		"https":                   -5.365976,
+		"id":                      -4.672829,
+		"if":                      -5.365976,
+		"key":                     -4.267364,
+		"keys":                    -5.365976,
+		"message":                 -5.365976,
+		"not":                     -4.267364,
+		"number":                  -5.365976,
+		"object":                  -5.365976,
+		"page":                    -5.365976,
+		"path":                    -5.365976,
+		"procedure":               -5.365976,
+		"response":                -5.365976,
+		"returned":                -5.365976,
+		"root":                    -5.365976,
+		"service":                 -4.672829,
+		"state":                   -5.365976,
+		"table":                   -5.365976,
+		"the":                     -5.365976,
+		"then":                    -4.672829,
+		"title":                   -5.365976,
+		"to":                      -5.365976,
+		"token":                   -4.267364,
+		"trigger":                 -4.672829,
+		"true":                    -4.672829,
+		"var":                     -5.365976,
+		"web":                     -4.672829,
+		"with":                    -4.267364,
+		"{":                       -3.756538,
+		"}":                       -3.063391,
+	},
 	"AMPL": map[string]float64{
 		"(":                     -3.988984,
 		")":                     -3.988984,
@@ -1846,6 +1973,174 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"⎕":                             -3.405421,
 		"\ufeff":                        -7.548556,
 	},
+	"ASL": map[string]float64{
+		"(":                             -2.168894,
+		")":                             -2.168894,
+		",":                             -1.059171,
+		"ACPI_EXTRACT_ALL_CODE":         -7.356280,
+		"ACPI_EXTRACT_NAME_BUFFER":      -6.257668,
+		"ACPI_EXTRACT_NAME_BYTE_CONST":  -7.356280,
+		"ACPI_EXTRACT_NAME_DWORD_CONST": -6.663133,
+		"ACPI_EXTRACT_NAME_STRING":      -6.663133,
+		"ACPI_EXTRACT_NAME_WORD_CONST":  -7.356280,
+		"ACPI_EXTRACT_PKG_START":        -7.356280,
+		"ASEG":                          -7.356280,
+		"Acquire":                       -6.663133,
+		"Add":                           -7.356280,
+		"Arg":                           -6.663133,
+		"Buffer":                        -6.257668,
+		"ByteAcc":                       -7.356280,
+		"C":                             -6.663133,
+		"CONFIG_MMCONF_BASE_ADDRESS":    -7.356280,
+		"CTCC":                          -5.746842,
+		"CTCD":                          -5.969986,
+		"CTCM":                          -5.410370,
+		"CTCN":                          -5.969986,
+		"CTCS":                          -6.257668,
+		"CTCU":                          -7.356280,
+		"CTDD":                          -6.257668,
+		"CTDN":                          -6.257668,
+		"CTDU":                          -7.356280,
+		"Cacheable":                     -4.583691,
+		"CreateDwordField":              -6.257668,
+		"CreateWordField":               -6.663133,
+		"DEFAULT_MCHBAR":                -7.356280,
+		"DMEN":                          -7.356280,
+		"DWordAcc":                      -6.663133,
+		"DWordIO":                       -6.663133,
+		"DWordMemory":                   -4.583691,
+		"DeRefOf":                       -6.663133,
+		"Debug":                         -6.663133,
+		"Decode":                        -6.663133,
+		"DefinitionBlock":               -7.356280,
+		"Device":                        -6.663133,
+		"DeviceObj":                     -6.663133,
+		"Divide":                        -6.663133,
+		"E":                             -5.969986,
+		"EISAID":                        -6.663133,
+		"EPEN":                          -7.356280,
+		"ESG":                           -5.969986,
+		"Else":                          -7.356280,
+		"EntireRange":                   -6.663133,
+		"External":                      -6.257668,
+		"FSEG":                          -7.356280,
+		"Field":                         -6.257668,
+		"H":                             -5.410370,
+		"IO":                            -6.663133,
+		"IO._MAX":                       -7.356280,
+		"IO._MIN":                       -7.356280,
+		"IOMN":                          -6.663133,
+		"IOMX":                          -6.663133,
+		"ISA":                           -6.663133,
+		"If":                            -5.410370,
+		"Increment":                     -7.356280,
+		"Index":                         -6.663133,
+		"Io":                            -7.356280,
+		"LEqual":                        -5.746842,
+		"LLess":                         -7.356280,
+		"Local":                         -4.411841,
+		"Lock":                          -7.356280,
+		"MCHB":                          -6.663133,
+		"MCHC":                          -7.356280,
+		"MCHC.MEBA":                     -7.356280,
+		"MCHC.TLUD":                     -7.356280,
+		"MCHC.TOM":                      -7.356280,
+		"MCHP":                          -6.663133,
+		"MCRS":                          -5.746842,
+		"MEBA":                          -7.356280,
+		"MHEN":                          -7.356280,
+		"MaxFixed":                      -4.411841,
+		"Method":                        -5.276838,
+		"MinFixed":                      -4.411841,
+		"Multiply":                      -6.663133,
+		"Mutex":                         -7.356280,
+		"Name":                          -4.265237,
+		"NoLock":                        -6.663133,
+		"NotSerialized":                 -5.746842,
+		"OPR":                           -5.276838,
+		"Offset":                        -4.265237,
+		"One":                           -6.257668,
+		"OperationRegion":               -6.257668,
+		"P":                             -5.564520,
+		"PB":                            -7.356280,
+		"PCI_Config":                    -7.356280,
+		"PEOR":                          -6.663133,
+		"PEPT":                          -6.257668,
+		"PEST":                          -5.746842,
+		"PEVT":                          -7.356280,
+		"PI":                            -6.663133,
+		"PL":                            -4.871373,
+		"PLEN":                          -6.663133,
+		"PM":                            -4.523067,
+		"PMAX":                          -6.257668,
+		"PMIN":                          -6.257668,
+		"PPCM":                          -6.663133,
+		"PPCN":                          -6.663133,
+		"PSSS":                          -6.257668,
+		"PXEN":                          -7.356280,
+		"PXSZ":                          -7.356280,
+		"Package":                       -6.257668,
+		"PosDecode":                     -4.411841,
+		"Preserve":                      -6.257668,
+		"RDPT":                          -7.356280,
+		"ReadWrite":                     -4.583691,
+		"Release":                       -5.969986,
+		"ResourceProducer":              -4.411841,
+		"ResourceTemplate":              -6.663133,
+		"Return":                        -4.871373,
+		"S":                             -6.663133,
+		"STDN":                          -7.356280,
+		"STND":                          -7.356280,
+		"Scope":                         -6.257668,
+		"Serialized":                    -6.257668,
+		"ShiftRight":                    -7.356280,
+		"SizeOf":                        -7.356280,
+		"Store":                         -4.178226,
+		"Subtract":                      -6.257668,
+		"SystemIO":                      -7.356280,
+		"SystemMemory":                  -7.356280,
+		"T":                             -6.663133,
+		"TARD":                          -6.257668,
+		"TARN":                          -6.257668,
+		"TARS":                          -6.257668,
+		"TARU":                          -7.356280,
+		"TLUD":                          -7.356280,
+		"TOM":                           -7.356280,
+		"TPMR":                          -7.356280,
+		"V":                             -5.410370,
+		"WRPT":                          -7.356280,
+		"While":                         -7.356280,
+		"WordBusNumber":                 -7.356280,
+		"Zero":                          -5.159055,
+		"\\":                            -5.276838,
+		"^":                             -5.564520,
+		"_ADR":                          -6.663133,
+		"_BBN":                          -7.356280,
+		"_CID":                          -7.356280,
+		"_CRS":                          -5.969986,
+		"_HID":                          -6.663133,
+		"_INI":                          -7.356280,
+		"_LEN":                          -7.356280,
+		"_MAX":                          -7.356280,
+		"_MIN":                          -7.356280,
+		"_PR.CP":                        -6.257668,
+		"_PSS":                          -6.257668,
+		"_S":                            -6.257668,
+		"_SB.PCI":                       -6.257668,
+		"_STA":                          -7.356280,
+		"_end":                          -6.663133,
+		"_length":                       -7.356280,
+		"_name":                         -6.663133,
+		"_pkg":                          -7.356280,
+		"_start":                        -6.663133,
+		"_valid":                        -7.356280,
+		"acpi_pci":                      -5.564520,
+		"acpi_s":                        -6.257668,
+		"ssdp_misc_aml":                 -7.356280,
+		"ssdt_isa_pest":                 -7.356280,
+		"{":                             -3.829919,
+		"}":                             -3.829919,
+	},
 	"ASN.1": map[string]float64{
 		"(":                    -1.972757,
 		")":                    -1.972757,
@@ -1897,6 +2192,143 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":                    -3.407842,
 		"}":                    -3.630985,
 	},
+	"ASP.NET": map[string]float64{
+		"\"":                           -5.683580,
+		".":                            -5.683580,
+		"/h":                           -4.584967,
+		"10":                           -4.990433,
+		"<":                            -3.891820,
+		"<%#:>":                        -4.990433,
+		"<%:>":                         -5.683580,
+		"<%@>":                         -4.074142,
+		"</EmptyDataTemplate>":         -5.683580,
+		"</ItemTemplate>":              -5.683580,
+		"</a>":                         -5.683580,
+		"</asp:Content>":               -5.683580,
+		"</asp:HyperLink>":             -4.990433,
+		"</asp:Label>":                 -4.584967,
+		"</asp:ListView>":              -5.683580,
+		"</asp:PlaceHolder>":           -5.683580,
+		"</button>":                    -5.683580,
+		"</div>":                       -2.975530,
+		"</p>":                         -4.074142,
+		"</section>":                   -4.990433,
+		"<EmptyDataTemplate>":          -5.683580,
+		"<ItemTemplate>":               -5.683580,
+		"<a>":                          -5.683580,
+		"<asp:Button>":                 -5.683580,
+		"<asp:CheckBox>":               -5.683580,
+		"<asp:Content>":                -5.683580,
+		"<asp:HyperLink>":              -4.990433,
+		"<asp:Label>":                  -4.584967,
+		"<asp:ListView>":               -5.683580,
+		"<asp:Literal>":                -5.683580,
+		"<asp:PlaceHolder>":            -5.683580,
+		"<asp:RequiredFieldValidator>": -4.990433,
+		"<asp:TextBox>":                -4.990433,
+		"<button>":                     -5.683580,
+		"<div>":                        -2.975530,
+		"<hr>":                         -4.990433,
+		"<p>":                          -4.074142,
+		"<section>":                    -4.990433,
+		"<uc:OpenAuthProviders>":       -5.683580,
+		">":                            -3.737670,
+		"?":                            -4.584967,
+		"ASP.NET":                      -5.683580,
+		"Application":                  -5.683580,
+		"AssociatedControlID=":         -4.584967,
+		"Async=":                       -5.683580,
+		"AutoEventWireup=":             -4.990433,
+		"Class=":                       -5.683580,
+		"CodeBehind=":                  -4.584967,
+		"Codebehind=":                  -5.683580,
+		"ContentPlaceHolderID=":        -5.683580,
+		"Control":                      -5.683580,
+		"ControlToValidate=":           -4.990433,
+		"CssClass=":                    -3.737670,
+		"Email":                        -5.683580,
+		"ErrorMessage=":                -4.990433,
+		"Forgot":                       -5.683580,
+		"ID=":                          -3.380995,
+		"Inherits=":                    -4.584967,
+		"Item":                         -4.584967,
+		"ItemType=":                    -5.683580,
+		"Language=":                    -4.297285,
+		"Log":                          -5.683580,
+		"MasterPageFile=":              -5.683580,
+		"OnClick=":                     -5.683580,
+		"Page":                         -5.683580,
+		"Password":                     -5.683580,
+		"Register":                     -4.990433,
+		"Remember":                     -5.683580,
+		"See":                          -5.683580,
+		"SelectMethod=":                -5.683580,
+		"Src=":                         -5.683580,
+		"TagName=":                     -5.683580,
+		"TagPrefix=":                   -5.683580,
+		"Text=":                        -5.683580,
+		"TextMode=":                    -4.990433,
+		"There":                        -5.683580,
+		"Title":                        -5.683580,
+		"Title=":                       -5.683580,
+		"Use":                          -4.990433,
+		"ViewStateMode=":               -4.584967,
+		"Visible=":                     -5.683580,
+		"WebHandler":                   -5.683580,
+		"a":                            -4.990433,
+		"account":                      -5.683580,
+		"account.":                     -5.683580,
+		"another":                      -5.683580,
+		"application":                  -5.683580,
+		"are":                          -5.683580,
+		"article":                      -5.683580,
+		"authentication":               -5.683580,
+		"btn":                          -4.990433,
+		"class=":                       -2.975530,
+		"col":                          -4.990433,
+		"configured.":                  -5.683580,
+		"control":                      -4.990433,
+		"default":                      -4.990433,
+		"details":                      -5.683580,
+		"email":                        -5.683580,
+		"external":                     -4.990433,
+		"field":                        -4.990433,
+		"for":                          -5.683580,
+		"h":                            -4.584967,
+		"href=":                        -5.683580,
+		"id=":                          -4.584967,
+		"in":                           -4.297285,
+		"in.":                          -4.990433,
+		"is":                           -4.990433,
+		"label":                        -4.990433,
+		"local":                        -5.683580,
+		"log":                          -4.990433,
+		"logging":                      -5.683580,
+		"md":                           -4.990433,
+		"me":                           -5.683580,
+		"name=":                        -5.683580,
+		"new":                          -5.683580,
+		"no":                           -5.683580,
+		"on":                           -5.683580,
+		"password":                     -4.990433,
+		"required":                     -4.990433,
+		"runat=":                       -2.910991,
+		"service":                      -5.683580,
+		"services":                     -5.683580,
+		"services.":                    -5.683580,
+		"setting":                      -5.683580,
+		"support":                      -5.683580,
+		"this":                         -4.990433,
+		"to":                           -4.584967,
+		"type=":                        -5.683580,
+		"up":                           -5.683580,
+		"user":                         -5.683580,
+		"using":                        -5.683580,
+		"value=":                       -5.683580,
+		"via":                          -5.683580,
+		"your":                         -4.990433,
+		"\ufeff":                       -4.297285,
+	},
 	"ATS": map[string]float64{
 		"!":                      -4.216328,
 		"#":                      -8.000517,
@@ -2253,42 +2685,143 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"~":                      -5.860451,
 	},
 	"ActionScript": map[string]float64{
-		"(":                       -2.364279,
-		")":                       -2.364279,
-		":":                       -2.970414,
-		";":                       -2.564949,
-		"=":                       -3.663562,
-		"Bar":                     -3.375880,
-		"Foo":                     -3.663562,
-		"Hello":                   -4.762174,
-		"Main":                    -4.069027,
-		"MovieClip":               -4.762174,
-		"Number":                  -3.663562,
-		"class":                   -3.375880,
-		"extends":                 -4.069027,
-		"flash.display.MovieClip": -4.762174,
-		"foobar":                  -4.762174,
-		"function":                -3.375880,
-		"getNumber":               -4.069027,
-		"import":                  -4.762174,
-		"mypackage":               -4.762174,
-		"new":                     -4.069027,
-		"ourNumber":               -4.069027,
-		"override":                -4.762174,
-		"package":                 -4.069027,
-		"private":                 -4.762174,
-		"public":                  -3.152736,
-		"return":                  -4.069027,
-		"sayHello":                -4.762174,
-		"trace":                   -3.663562,
-		"var":                     -3.663562,
-		"void":                    -4.762174,
-		"x":                       -4.762174,
-		"x.getNumber":             -4.762174,
-		"y":                       -4.762174,
-		"y.getNumber":             -4.762174,
-		"{":                       -2.459589,
-		"}":                       -2.459589,
+		"!":                              -5.603348,
+		"&":                              -6.701960,
+		"'":                              -6.701960,
+		"(":                              -2.384472,
+		")":                              -2.411501,
+		"*":                              -6.701960,
+		"+":                              -4.217054,
+		",":                              -4.304065,
+		"-":                              -6.008813,
+		"/**":                            -6.701960,
+		":":                              -2.830759,
+		";":                              -2.770135,
+		"<":                              -6.008813,
+		"</code>":                        -3.334665,
+		"</strong>":                      -5.603348,
+		"<code>":                         -3.334665,
+		"<strong>":                       -5.603348,
+		"=":                              -2.770135,
+		">":                              -6.008813,
+		"?":                              -5.092522,
+		"@param":                         -6.701960,
+		"Array":                          -6.008813,
+		"Bar":                            -5.315666,
+		"Boolean":                        -4.756050,
+		"Determines":                     -6.701960,
+		"Foo":                            -5.603348,
+		"Hello":                          -6.701960,
+		"Main":                           -6.008813,
+		"Math.floor":                     -6.701960,
+		"Math.max":                       -6.008813,
+		"Math.min":                       -6.008813,
+		"Math.random":                    -6.701960,
+		"Math.sqrt":                      -6.701960,
+		"MovieClip":                      -6.701960,
+		"Number":                         -3.610918,
+		"NumberUtil":                     -6.701960,
+		"NumberUtil.isEven":              -6.008813,
+		"Object":                         -6.008813,
+		"String":                         -4.756050,
+		"TextFieldUtil":                  -6.701960,
+		"TextFieldUtil.hasOverFlow":      -5.315666,
+		"a":                              -6.701960,
+		"break":                          -6.701960,
+		"class":                          -4.910201,
+		"determine":                      -6.701960,
+		"else":                           -6.008813,
+		"endValue":                       -5.603348,
+		"extends":                        -6.008813,
+		"falls":                          -6.701960,
+		"false":                          -5.603348,
+		"flash.display.MovieClip":        -6.701960,
+		"foobar":                         -6.701960,
+		"for":                            -6.701960,
+		"function":                       -3.929372,
+		"getNumber":                      -6.008813,
+		"hasOverFlow":                    -6.701960,
+		"i":                              -5.603348,
+		"if":                             -4.137011,
+		"import":                         -6.701960,
+		"is":                             -6.701960,
+		"isBetween":                      -6.701960,
+		"isEven":                         -6.701960,
+		"isInteger":                      -6.701960,
+		"isOdd":                          -6.701960,
+		"isPrime":                        -6.701960,
+		"isStillOverflowing":             -5.603348,
+		"it":                             -6.008813,
+		"lastSentence":                   -5.603348,
+		"lastSentence.split":             -6.701960,
+		"lines":                          -6.701960,
+		"lines.join":                     -6.008813,
+		"lines.length":                   -6.008813,
+		"lines.pop":                      -6.701960,
+		"max":                            -5.603348,
+		"min":                            -5.315666,
+		"mypackage":                      -6.701960,
+		"nd":                             -6.701960,
+		"nearest":                        -6.701960,
+		"new":                            -6.008813,
+		"not":                            -6.701960,
+		"num":                            -3.868747,
+		"num.toString":                   -6.701960,
+		"omissionIndicator":              -4.910201,
+		"org.casalib.util.NumberUtil":    -6.701960,
+		"org.casalib.util.TextFieldUtil": -6.701960,
+		"originalCopy":                   -6.701960,
+		"originalCopy.substring":         -6.701960,
+		"ourNumber":                      -6.008813,
+		"overFlow":                       -5.603348,
+		"overFlow.charAt":                -6.701960,
+		"overFlow.substring":             -6.701960,
+		"override":                       -6.701960,
+		"package":                        -6.008813,
+		"private":                        -5.603348,
+		"public":                         -3.993910,
+		"randomInteger":                  -6.701960,
+		"range":                          -6.008813,
+		"rd":                             -6.701960,
+		"removeOverFlow":                 -6.701960,
+		"return":                         -3.811589,
+		"s":                              -6.008813,
+		"sayHello":                       -6.701960,
+		"sentences":                      -5.603348,
+		"sentences.substr":               -6.701960,
+		"snapped":                        -6.701960,
+		"st":                             -6.701960,
+		"startValue":                     -5.603348,
+		"static":                         -4.399375,
+		"target_txt":                     -4.910201,
+		"target_txt.maxscroll":           -6.701960,
+		"target_txt.text":                -4.910201,
+		"target_txt.text.length":         -6.701960,
+		"target_txt.text.split":          -6.008813,
+		"th":                             -6.008813,
+		"the":                            -6.701960,
+		"to":                             -6.008813,
+		"trace":                          -5.603348,
+		"true":                           -5.603348,
+		"undefined":                      -4.756050,
+		"val":                            -3.811589,
+		"value":                          -6.701960,
+		"value.":                         -6.701960,
+		"var":                            -4.217054,
+		"void":                           -6.701960,
+		"while":                          -5.603348,
+		"within":                         -6.701960,
+		"words":                          -5.603348,
+		"words.join":                     -6.008813,
+		"words.length":                   -6.008813,
+		"words.pop":                      -6.008813,
+		"x":                              -6.701960,
+		"x.getNumber":                    -6.701960,
+		"y":                              -6.701960,
+		"y.getNumber":                    -6.701960,
+		"{":                              -3.300763,
+		"||":                             -5.315666,
+		"}":                              -3.267973,
 	},
 	"Adobe Font Metrics": map[string]float64{
 		"(":                                 -6.476459,
@@ -8165,6 +8698,153 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"World":  -1.945910,
 		"`":      -1.945910,
 	},
+	"Avro IDL": map[string]float64{
+		"(":                            -2.783888,
+		")":                            -2.783888,
+		"*/":                           -6.280396,
+		",":                            -2.846409,
+		";":                            -2.090741,
+		"<Email>":                      -6.280396,
+		"<InterestingPerson>":          -6.280396,
+		"<ProofSuggestion>":            -6.280396,
+		"<PublicKey>":                  -4.894101,
+		"<SizedImage>":                 -4.894101,
+		"<TeamBlock>":                  -6.280396,
+		"<TrackProof>":                 -6.280396,
+		"<UserBlock>":                  -6.280396,
+		"<UserBlockArg>":               -6.280396,
+		"<UserBlockedRow>":             -6.280396,
+		"<UserPhoneNumber>":            -6.280396,
+		"<UserSummary>":                -6.280396,
+		"<WebProof>":                   -6.280396,
+		"<string,>":                    -5.587249,
+		"<string>":                     -5.587249,
+		">":                            -6.280396,
+		"@jsonkey":                     -3.977811,
+		"@lint":                        -5.181784,
+		"@namespace":                   -6.280396,
+		"AllIncarnations":              -6.280396,
+		"CHAT_":                        -6.280396,
+		"CanLogoutRes":                 -5.587249,
+		"FOLLOW_":                      -6.280396,
+		"ImageCropRect":                -6.280396,
+		"InterestingPerson":            -6.280396,
+		"KNOWN_":                       -6.280396,
+		"LinkID":                       -6.280396,
+		"NextMerkleRootRes":            -6.280396,
+		"PassphraseState":              -4.894101,
+		"ProofSuggestionsRes":          -5.587249,
+		"Proofs":                       -6.280396,
+		"RANDOM_":                      -6.280396,
+		"ResetMerkleRoot":              -6.280396,
+		"Seqno":                        -6.280396,
+		"TLFID":                        -6.280396,
+		"TeamBlock":                    -6.280396,
+		"Time":                         -4.894101,
+		"TrackProof":                   -6.280396,
+		"UID":                          -4.200954,
+		"UPAKVersioned":                -6.280396,
+		"UPKLiteV":                     -6.280396,
+		"UserBlock":                    -6.280396,
+		"UserBlockArg":                 -6.280396,
+		"UserBlockState":               -5.587249,
+		"UserBlockType":                -5.587249,
+		"UserBlockedBody":              -6.280396,
+		"UserBlockedRow":               -6.280396,
+		"UserBlockedSummary":           -6.280396,
+		"UserCard":                     -6.280396,
+		"UserPassphraseStateMsg":       -6.280396,
+		"UserSummary":                  -6.280396,
+		"UserSummarySet":               -6.280396,
+		"UserVersion":                  -6.280396,
+		"WebProof":                     -6.280396,
+		"array":                        -3.641339,
+		"bio":                          -6.280396,
+		"blockType":                    -6.280396,
+		"blockUser":                    -6.280396,
+		"blocked":                      -6.280396,
+		"blocker":                      -6.280396,
+		"blocks":                       -5.181784,
+		"boolean":                      -3.715446,
+		"canLogout":                    -5.587249,
+		"chat":                         -6.280396,
+		"chatBlocked":                  -6.280396,
+		"comment":                      -6.280396,
+		"convID":                       -6.280396,
+		"createTime":                   -5.587249,
+		"crop":                         -6.280396,
+		"dismissBlockButtons":          -6.280396,
+		"enum":                         -5.587249,
+		"filename":                     -6.280396,
+		"findNextMerkleRootAfterReset": -6.280396,
+		"follow":                       -6.280396,
+		"followBlocked":                -6.280396,
+		"forcePoll":                    -6.280396,
+		"fullName":                     -5.587249,
+		"fullname":                     -6.280396,
+		"getTeamBlocks":                -6.280396,
+		"getUPAK":                      -6.280396,
+		"getUPAKLite":                  -6.280396,
+		"getUserBlocks":                -6.280396,
+		"hostname":                     -6.280396,
+		"idString":                     -6.280396,
+		"idl":                          -6.280396,
+		"import":                       -6.280396,
+		"includeTranscript":            -6.280396,
+		"int":                          -3.715446,
+		"interestingPeople":            -6.280396,
+		"linkID":                       -6.280396,
+		"loadAllPublicKeysUnverified":  -6.280396,
+		"loadPassphraseState":          -6.280396,
+		"location":                     -6.280396,
+		"map":                          -5.587249,
+		"maxUsers":                     -6.280396,
+		"meUserVersion":                -6.280396,
+		"modifyTime":                   -6.280396,
+		"namespace":                    -6.280396,
+		"null":                         -3.977811,
+		"passphraseState":              -5.587249,
+		"prev":                         -6.280396,
+		"profileEdit":                  -6.280396,
+		"proofName":                    -6.280396,
+		"proofSuggestions":             -6.280396,
+		"proofType":                    -6.280396,
+		"protocol":                     -6.280396,
+		"protocols":                    -6.280396,
+		"publicKeys":                   -6.280396,
+		"reason":                       -5.587249,
+		"record":                       -3.507807,
+		"reportUser":                   -6.280396,
+		"resetSeqno":                   -6.280396,
+		"serviceMap":                   -6.280396,
+		"sessionID":                    -3.882501,
+		"setChatBlock":                 -6.280396,
+		"setFollowBlock":               -6.280396,
+		"setUserBlocks":                -6.280396,
+		"showMore":                     -6.280396,
+		"social":                       -6.280396,
+		"string":                       -2.948191,
+		"suggestions":                  -6.280396,
+		"teamName":                     -6.280396,
+		"time":                         -6.280396,
+		"tlfID":                        -6.280396,
+		"uid":                          -4.200954,
+		"unblockUser":                  -6.280396,
+		"union":                        -3.977811,
+		"unstubbed":                    -6.280396,
+		"uploadUserAvatar":             -6.280396,
+		"useSession":                   -6.280396,
+		"user":                         -6.280396,
+		"userCard":                     -6.280396,
+		"username":                     -3.977811,
+		"usernames":                    -6.280396,
+		"users":                        -6.280396,
+		"version":                      -6.280396,
+		"void":                         -4.334486,
+		"web":                          -6.280396,
+		"{":                            -2.913100,
+		"}":                            -2.913100,
+	},
 	"Awk": map[string]float64{
 		"!":                             -5.783825,
 		"#":                             -5.783825,
@@ -14411,220 +15091,284 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"~":                                        -9.517053,
 	},
 	"C#": map[string]float64{
-		"!":                 -4.114964,
-		"&&":                -6.417549,
-		"(":                 -2.176222,
-		")":                 -2.176222,
-		"+":                 -5.031255,
-		",":                 -3.676709,
-		".Does":             -6.012084,
-		".IsDependentOn":    -6.012084,
-		".SetConfiguration": -7.110696,
-		".ToList":           -6.417549,
-		".WithProperty":     -7.110696,
-		".WithTarget":       -7.110696,
-		"/":                 -5.724402,
-		"//":                -6.417549,
-		"/////////////////////////////////////////////////////////////////////////////": -4.625789,
-		":":                                     -4.066174,
-		";":                                     -2.495576,
-		"<":                                     -6.417549,
-		"</summary>":                            -7.110696,
-		"<FieldDeclaration>":                    -6.012084,
-		"<OrderExpression>":                     -6.012084,
-		"<string>":                              -6.417549,
-		"<summary>":                             -7.110696,
-		"=":                                     -2.876590,
-		">":                                     -5.164786,
-		"AggregateExpression":                   -6.012084,
-		"AggregateSubqueryExpression":           -6.012084,
-		"Argument":                              -6.417549,
-		"AssemblyCompany":                       -7.110696,
-		"AssemblyConfiguration":                 -7.110696,
-		"AssemblyCopyright":                     -7.110696,
-		"AssemblyCulture":                       -7.110696,
-		"AssemblyDelaySign":                     -7.110696,
-		"AssemblyDescription":                   -7.110696,
-		"AssemblyKeyFile":                       -7.110696,
-		"AssemblyProduct":                       -7.110696,
-		"AssemblyTitle":                         -7.110696,
-		"AssemblyTrademark":                     -7.110696,
-		"AssemblyVersion":                       -7.110696,
-		"BsonPropertyValue":                     -6.417549,
-		"CleanDirectories":                      -6.417549,
-		"CollectionExpression":                  -6.417549,
-		"Console.WriteLine":                     -6.417549,
-		"Expression":                            -4.471639,
-		"ExpressionVisitor":                     -7.110696,
-		"FieldDeclaration":                      -7.110696,
-		"FieldExpression":                       -6.012084,
-		"GetFiles":                              -7.110696,
-		"Information":                           -5.501258,
-		"IsDictionary":                          -6.417549,
-		"List":                                  -6.417549,
-		"LittleSampleApp":                       -7.110696,
-		"MSBuild":                               -7.110696,
-		"Main":                                  -7.110696,
-		"MongoDB.Linq.Expressions":              -7.110696,
-		"MongoDB.Serialization.Descriptors":     -7.110696,
-		"MongoExpressionType":                   -6.417549,
-		"MongoExpressionType.Aggregate":         -7.110696,
-		"MongoExpressionType.AggregateSubquery": -7.110696,
-		"MongoExpressionType.Collection":        -7.110696,
-		"MongoExpressionType.Field":             -7.110696,
-		"MongoExpressionType.Projection":        -7.110696,
-		"MongoExpressionType.Scalar":            -6.417549,
-		"MongoExpressionType.Select":            -7.110696,
-		"MongoExpressionVisitor":                -7.110696,
-		"NuGetRestore":                          -7.110696,
-		"OrderExpression":                       -6.417549,
-		"PlatformTarget.MSIL":                   -7.110696,
-		"Program":                               -7.110696,
-		"ProjectionExpression":                  -6.012084,
-		"ReadOnlyCollection":                    -5.724402,
-		"RunTarget":                             -7.110696,
-		"ScalarExpression":                      -5.318937,
-		"SelectExpression":                      -5.318937,
-		"Setup":                                 -7.110696,
-		"SubqueryExpression":                    -7.110696,
-		"System":                                -6.417549,
-		"System.Collections.Generic":            -6.417549,
-		"System.Collections.ObjectModel":        -7.110696,
-		"System.Linq":                           -6.417549,
-		"System.Linq.Expressions":               -7.110696,
-		"System.Reflection":                     -7.110696,
-		"System.Runtime.CompilerServices":       -7.110696,
-		"System.Text":                           -7.110696,
-		"System.Threading.Tasks":                -7.110696,
-		"Task":                                  -5.724402,
-		"Teardown":                              -7.110696,
-		"Type":                                  -5.724402,
-		"Value":                                 -6.417549,
-		"Visit":                                 -4.545747,
-		"VisitAggregate":                        -6.417549,
-		"VisitAggregateSubquery":                -6.417549,
-		"VisitCollection":                       -6.417549,
-		"VisitField":                            -6.417549,
-		"VisitFieldDeclarationList":             -6.417549,
-		"VisitOrderBy":                          -6.417549,
-		"VisitProjection":                       -6.417549,
-		"VisitScalar":                           -6.012084,
-		"VisitSelect":                           -6.417549,
-		"VisitSource":                           -6.417549,
-		"VisitSubquery":                         -7.110696,
-		"[":                                     -4.471639,
-		"]":                                     -4.471639,
-		"aggregate":                             -6.417549,
-		"aggregate.AggregateType":               -7.110696,
-		"aggregate.Argument":                    -6.417549,
-		"aggregate.Distinct":                    -7.110696,
-		"aggregate.Type":                        -7.110696,
-		"aggregateSubquery":                     -6.417549,
-		"aggregateSubquery.AggregateAsSubquery": -6.417549,
-		"aggregateSubquery.AggregateInGroupSelect": -7.110696,
-		"aggregateSubquery.GroupByAlias":           -7.110696,
-		"alternate":                                -4.808111,
-		"alternate.Add":                            -6.417549,
-		"alternate.AsReadOnly":                     -6.417549,
-		"args":                                     -7.110696,
-		"assembly":                                 -4.712801,
-		"base.Visit":                               -7.110696,
-		"bool":                                     -6.417549,
-		"case":                                     -5.031255,
-		"class":                                    -6.012084,
-		"collection":                               -6.417549,
-		"configuration":                            -5.724402,
-		"default":                                  -7.110696,
-		"e":                                        -4.712801,
-		"exp":                                      -4.545747,
-		"exp.NodeType":                             -7.110696,
-		"expr":                                     -7.110696,
-		"expr.Expression":                          -6.417549,
-		"expr.OrderType":                           -7.110696,
-		"f":                                        -7.110696,
-		"f.Expression":                             -6.417549,
-		"f.Name":                                   -7.110696,
-		"false":                                    -7.110696,
-		"field":                                    -6.012084,
-		"field.Alias":                              -7.110696,
-		"field.Expression":                         -6.417549,
-		"field.Name":                               -7.110696,
-		"fields":                                   -5.031255,
-		"fields.Count":                             -7.110696,
-		"fields.Take":                              -7.110696,
-		"for":                                      -6.417549,
-		"foreach":                                  -6.012084,
-		"from":                                     -6.012084,
-		"get":                                      -6.012084,
-		"groupBy":                                  -6.012084,
-		"i":                                        -4.808111,
-		"if":                                       -4.402646,
-		"in":                                       -6.012084,
-		"int":                                      -6.417549,
-		"internal":                                 -6.417549,
-		"isDictionary":                             -6.417549,
-		"n":                                        -5.724402,
-		"namespace":                                -6.012084,
-		"new":                                      -5.031255,
-		"null":                                     -4.625789,
-		"object":                                   -6.417549,
-		"orderBy":                                  -6.012084,
-		"orderBys":                                 -5.724402,
-		"orderBys.Count":                           -7.110696,
-		"orderBys.Take":                            -7.110696,
-		"override":                                 -7.110696,
-		"path":                                     -5.724402,
-		"private":                                  -6.012084,
-		"projection":                               -6.417549,
-		"projection.Aggregator":                    -7.110696,
-		"projection.Projector":                     -6.417549,
-		"projection.Source":                        -6.417549,
-		"projector":                                -6.012084,
-		"protected":                                -4.625789,
-		"public":                                   -5.724402,
-		"return":                                   -3.743400,
-		"scalar":                                   -6.417549,
-		"scalar.Select":                            -6.417549,
-		"scalar.Type":                              -7.110696,
-		"select":                                   -5.501258,
-		"select.Alias":                             -7.110696,
-		"select.Fields":                            -6.417549,
-		"select.From":                              -6.417549,
-		"select.GroupBy":                           -6.417549,
-		"select.IsDistinct":                        -7.110696,
-		"select.OrderBy":                           -6.417549,
-		"select.Skip":                              -6.417549,
-		"select.Take":                              -6.417549,
-		"select.Where":                             -6.417549,
-		"set":                                      -6.012084,
-		"settings":                                 -7.110696,
-		"settings.SetPlatformTarget":               -7.110696,
-		"skip":                                     -6.012084,
-		"solution":                                 -5.164786,
-		"solution.GetDirectory":                    -7.110696,
-		"solutionPaths":                            -6.417549,
-		"solutions":                                -6.012084,
-		"solutions.Select":                         -7.110696,
-		"source":                                   -5.501258,
-		"static":                                   -7.110696,
-		"string":                                   -7.110696,
-		"subquery":                                 -5.318937,
-		"subquery.NodeType":                        -7.110696,
-		"switch":                                   -6.417549,
-		"take":                                     -6.012084,
-		"target":                                   -6.417549,
-		"this.Visit":                               -7.110696,
-		"type":                                     -6.417549,
-		"using":                                    -4.625789,
-		"value":                                    -6.417549,
-		"var":                                      -4.114964,
-		"virtual":                                  -4.808111,
-		"void":                                     -7.110696,
-		"where":                                    -6.012084,
-		"{":                                        -3.527177,
-		"||":                                       -5.164786,
-		"}":                                        -3.527177,
-		"\ufeff":                                   -6.012084,
+		"!":                 -4.243483,
+		"&&":                -6.546068,
+		"(":                 -2.215334,
+		")":                 -2.215334,
+		"*":                 -7.239215,
+		"+":                 -5.159773,
+		",":                 -3.601629,
+		".Does":             -6.140603,
+		".Dump":             -7.239215,
+		".IsDependentOn":    -6.140603,
+		".SetConfiguration": -7.239215,
+		".ToList":           -6.546068,
+		".Value":            -6.546068,
+		".Where":            -7.239215,
+		".WithProperty":     -7.239215,
+		".WithTarget":       -7.239215,
+		"/":                 -5.629777,
+		"//":                -6.546068,
+		"/////////////////////////////////////////////////////////////////////////////": -4.754308,
+		"//To":                                  -7.239215,
+		"//and":                                 -7.239215,
+		"//now":                                 -7.239215,
+		"//refence":                             -7.239215,
+		"//replace":                             -7.239215,
+		"//www.linqpad.net":                     -7.239215,
+		":":                                     -4.103721,
+		";":                                     -2.557084,
+		"<":                                     -6.546068,
+		"</GACReference>":                       -7.239215,
+		"</Namespace>":                          -5.629777,
+		"</Query>":                              -7.239215,
+		"</summary>":                            -7.239215,
+		"<FieldDeclaration>":                    -6.140603,
+		"<GACReference>":                        -7.239215,
+		"<Namespace>":                           -5.629777,
+		"<OrderExpression>":                     -6.140603,
+		"<Query>":                               -7.239215,
+		"<string>":                              -6.546068,
+		"<summary>":                             -7.239215,
+		"=":                                     -2.808398,
+		">":                                     -4.754308,
+		"@":                                     -7.239215,
+		"AggregateExpression":                   -6.140603,
+		"AggregateSubqueryExpression":           -6.140603,
+		"Argument":                              -6.546068,
+		"AssemblyCompany":                       -7.239215,
+		"AssemblyConfiguration":                 -7.239215,
+		"AssemblyCopyright":                     -7.239215,
+		"AssemblyCulture":                       -7.239215,
+		"AssemblyDelaySign":                     -7.239215,
+		"AssemblyDescription":                   -7.239215,
+		"AssemblyKeyFile":                       -7.239215,
+		"AssemblyProduct":                       -7.239215,
+		"AssemblyTitle":                         -7.239215,
+		"AssemblyTrademark":                     -7.239215,
+		"AssemblyVersion":                       -7.239215,
+		"BsonPropertyValue":                     -6.546068,
+		"C":                                     -7.239215,
+		"CleanDirectories":                      -6.546068,
+		"CollectionExpression":                  -6.546068,
+		"Console.WriteLine":                     -6.546068,
+		"Culture":                               -7.239215,
+		"Expression":                            -4.600158,
+		"ExpressionVisitor":                     -7.239215,
+		"FieldDeclaration":                      -7.239215,
+		"FieldExpression":                       -6.140603,
+		"GAC":                                   -7.239215,
+		"GetFiles":                              -7.239215,
+		"Information":                           -5.629777,
+		"IsDictionary":                          -6.546068,
+		"Kind=":                                 -7.239215,
+		"LinqPad":                               -7.239215,
+		"List":                                  -6.546068,
+		"LittleSampleApp":                       -7.239215,
+		"MSBuild":                               -7.239215,
+		"Main":                                  -6.546068,
+		"MongoDB.Linq.Expressions":              -7.239215,
+		"MongoDB.Serialization.Descriptors":     -7.239215,
+		"MongoExpressionType":                   -6.546068,
+		"MongoExpressionType.Aggregate":         -7.239215,
+		"MongoExpressionType.AggregateSubquery": -7.239215,
+		"MongoExpressionType.Collection":        -7.239215,
+		"MongoExpressionType.Field":             -7.239215,
+		"MongoExpressionType.Projection":        -7.239215,
+		"MongoExpressionType.Scalar":            -6.546068,
+		"MongoExpressionType.Select":            -7.239215,
+		"MongoExpressionVisitor":                -7.239215,
+		"Name":                                  -7.239215,
+		"NuGetRestore":                          -7.239215,
+		"OrderExpression":                       -6.546068,
+		"PlatformTarget.MSIL":                   -7.239215,
+		"PowerShell.Create":                     -7.239215,
+		"Program":                               -7.239215,
+		"ProjectionExpression":                  -6.140603,
+		"PublicKeyToken":                        -7.239215,
+		"ReadOnlyCollection":                    -5.852921,
+		"RunTarget":                             -7.239215,
+		"ScalarExpression":                      -5.447456,
+		"SelectExpression":                      -5.447456,
+		"Setup":                                 -7.239215,
+		"SubqueryExpression":                    -7.239215,
+		"System":                                -6.140603,
+		"System.Collections.Generic":            -6.546068,
+		"System.Collections.ObjectModel":        -7.239215,
+		"System.Dynamic":                        -7.239215,
+		"System.Linq":                           -6.546068,
+		"System.Linq.Expressions":               -7.239215,
+		"System.Management.Automation":          -6.140603,
+		"System.Reflection":                     -7.239215,
+		"System.Runtime.CompilerServices":       -7.239215,
+		"System.Runtime.Serialization.Formatters": -7.239215,
+		"System.Text":                           -7.239215,
+		"System.Threading.Tasks":                -7.239215,
+		"System.Xml.Linq":                       -7.239215,
+		"Task":                                  -5.852921,
+		"Teardown":                              -7.239215,
+		"Test":                                  -7.239215,
+		"Type":                                  -5.852921,
+		"Util.Chart":                            -7.239215,
+		"Util.SeriesType.Pie":                   -7.239215,
+		"Value":                                 -6.546068,
+		"Version":                               -7.239215,
+		"Visit":                                 -4.674266,
+		"VisitAggregate":                        -6.546068,
+		"VisitAggregateSubquery":                -6.546068,
+		"VisitCollection":                       -6.546068,
+		"VisitField":                            -6.546068,
+		"VisitFieldDeclarationList":             -6.546068,
+		"VisitOrderBy":                          -6.546068,
+		"VisitProjection":                       -6.546068,
+		"VisitScalar":                           -6.140603,
+		"VisitSelect":                           -6.546068,
+		"VisitSource":                           -6.546068,
+		"VisitSubquery":                         -7.239215,
+		"WSInMb":                                -7.239215,
+		"[":                                     -4.466626,
+		"]":                                     -4.466626,
+		"_":                                     -6.546068,
+		"ad":                                    -7.239215,
+		"aggregate":                             -6.546068,
+		"aggregate.AggregateType":               -7.239215,
+		"aggregate.Argument":                    -6.546068,
+		"aggregate.Distinct":                    -7.239215,
+		"aggregate.Type":                        -7.239215,
+		"aggregateSubquery":                     -6.546068,
+		"aggregateSubquery.AggregateAsSubquery": -6.546068,
+		"aggregateSubquery.AggregateInGroupSelect": -7.239215,
+		"aggregateSubquery.GroupByAlias":           -7.239215,
+		"alternate":                                -4.936630,
+		"alternate.Add":                            -6.546068,
+		"alternate.AsReadOnly":                     -6.546068,
+		"args":                                     -7.239215,
+		"assembly":                                 -4.841320,
+		"base.Visit":                               -7.239215,
+		"bf":                                       -7.239215,
+		"bool":                                     -6.546068,
+		"case":                                     -5.159773,
+		"chart":                                    -7.239215,
+		"class":                                    -6.140603,
+		"collection":                               -6.546068,
+		"configuration":                            -5.852921,
+		"default":                                  -7.239215,
+		"dll":                                      -7.239215,
+		"e":                                        -4.754308,
+		"exp":                                      -4.674266,
+		"exp.NodeType":                             -7.239215,
+		"expr":                                     -7.239215,
+		"expr.Expression":                          -6.546068,
+		"expr.OrderType":                           -7.239215,
+		"f":                                        -7.239215,
+		"f.Expression":                             -6.546068,
+		"f.Name":                                   -7.239215,
+		"false":                                    -7.239215,
+		"field":                                    -6.140603,
+		"field.Alias":                              -7.239215,
+		"field.Expression":                         -6.546068,
+		"field.Name":                               -7.239215,
+		"fields":                                   -5.159773,
+		"fields.Count":                             -7.239215,
+		"fields.Take":                              -7.239215,
+		"file":                                     -7.239215,
+		"filter":                                   -7.239215,
+		"for":                                      -6.546068,
+		"foreach":                                  -6.140603,
+		"from":                                     -6.140603,
+		"get":                                      -6.140603,
+		"groupBy":                                  -6.140603,
+		"https":                                    -7.239215,
+		"i":                                        -4.936630,
+		"if":                                       -4.531165,
+		"in":                                       -5.629777,
+		"int":                                      -6.546068,
+		"internal":                                 -6.546068,
+		"isDictionary":                             -6.546068,
+		"it":                                       -7.239215,
+		"language":                                 -7.239215,
+		"long":                                     -7.239215,
+		"m":                                        -6.546068,
+		"n":                                        -5.852921,
+		"namespace":                                -6.140603,
+		"neutral":                                  -7.239215,
+		"new":                                      -5.041990,
+		"null":                                     -4.754308,
+		"object":                                   -6.546068,
+		"open":                                     -7.239215,
+		"orderBy":                                  -6.140603,
+		"orderBys":                                 -5.852921,
+		"orderBys.Count":                           -7.239215,
+		"orderBys.Take":                            -7.239215,
+		"override":                                 -7.239215,
+		"p":                                        -6.140603,
+		"p.Name":                                   -7.239215,
+		"p.WSInMb":                                 -6.546068,
+		"path":                                     -5.852921,
+		"private":                                  -6.140603,
+		"processes":                                -6.546068,
+		"projection":                               -6.546068,
+		"projection.Aggregator":                    -7.239215,
+		"projection.Projector":                     -6.546068,
+		"projection.Source":                        -6.546068,
+		"projector":                                -6.140603,
+		"protected":                                -4.754308,
+		"ps":                                       -7.239215,
+		"ps.AddScript":                             -7.239215,
+		"ps.Invoke":                                -7.239215,
+		"public":                                   -5.852921,
+		"res":                                      -7.239215,
+		"res.Select":                               -7.239215,
+		"return":                                   -3.871919,
+		"scalar":                                   -6.546068,
+		"scalar.Select":                            -6.546068,
+		"scalar.Type":                              -7.239215,
+		"script":                                   -6.546068,
+		"select":                                   -5.629777,
+		"select.Alias":                             -7.239215,
+		"select.Fields":                            -6.546068,
+		"select.From":                              -6.546068,
+		"select.GroupBy":                           -6.546068,
+		"select.IsDistinct":                        -7.239215,
+		"select.OrderBy":                           -6.546068,
+		"select.Skip":                              -6.546068,
+		"select.Take":                              -6.546068,
+		"select.Where":                             -6.546068,
+		"set":                                      -5.852921,
+		"settings":                                 -7.239215,
+		"settings.SetPlatformTarget":               -7.239215,
+		"skip":                                     -6.140603,
+		"solution":                                 -5.293305,
+		"solution.GetDirectory":                    -7.239215,
+		"solutionPaths":                            -6.546068,
+		"solutions":                                -6.140603,
+		"solutions.Select":                         -7.239215,
+		"source":                                   -5.629777,
+		"static":                                   -7.239215,
+		"string":                                   -6.546068,
+		"subquery":                                 -5.447456,
+		"subquery.NodeType":                        -7.239215,
+		"switch":                                   -6.546068,
+		"take":                                     -6.140603,
+		"target":                                   -6.546068,
+		"this":                                     -7.239215,
+		"this.Visit":                               -7.239215,
+		"to":                                       -7.239215,
+		"type":                                     -6.546068,
+		"using":                                    -4.754308,
+		"value":                                    -6.546068,
+		"var":                                      -4.020339,
+		"virtual":                                  -4.936630,
+		"void":                                     -6.546068,
+		"where":                                    -6.140603,
+		"with":                                     -7.239215,
+		"x":                                        -7.239215,
+		"x.Properties":                             -6.546068,
+		"your":                                     -7.239215,
+		"{":                                        -3.601629,
+		"||":                                       -5.293305,
+		"}":                                        -3.601629,
+		"\ufeff":                                   -6.140603,
 	},
 	"C++": map[string]float64{
 		"!":                                     -5.165256,
@@ -20430,42 +21174,374 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":    -3.044522,
 	},
 	"Cirru": map[string]float64{
-		"$":          -2.101261,
-		"(":          -2.631889,
-		")":          -2.631889,
-		"-":          -4.241327,
-		"./stdio.cr": -5.627621,
-		"a":          -2.536579,
-		"array":      -2.988564,
-		"b":          -3.681711,
-		"block":      -5.627621,
-		"bool":       -3.835862,
-		"c":          -3.430397,
-		"call":       -5.627621,
-		"child":      -5.627621,
-		"code":       -4.241327,
-		"container":  -4.529009,
-		"eval":       -4.934474,
-		"f":          -4.529009,
-		"false":      -5.627621,
-		"float":      -5.627621,
-		"get":        -4.241327,
-		"int":        -2.044102,
-		"just":       -4.241327,
-		"m":          -4.529009,
-		"map":        -3.548180,
-		"no":         -5.627621,
-		"nothing":    -5.627621,
-		"parent":     -5.627621,
-		"print":      -1.990035,
-		"require":    -5.627621,
-		"self":       -4.934474,
-		"set":        -3.142714,
-		"string":     -3.681711,
-		"true":       -5.627621,
-		"under":      -4.934474,
-		"x":          -4.934474,
-		"yes":        -5.627621,
+		"!":                                  -8.257126,
+		"\"":                                 -7.563979,
+		"#about":                             -8.257126,
+		"#list":                              -8.257126,
+		"#note":                              -8.257126,
+		"$":                                  -2.910019,
+		"(":                                  -2.089610,
+		")":                                  -2.089610,
+		",":                                  -6.465367,
+		"-":                                  -4.067472,
+		"../code/java/basic/bits":            -8.257126,
+		"../code/java/basic/cast.java":       -8.257126,
+		"../code/java/basic/null":            -8.257126,
+		"../code/java/basic/operations.java": -8.257126,
+		"../code/java/basic/print.java":      -8.257126,
+		"../code/java/basic/switch.java":     -8.257126,
+		"../code/java/basic/variables":       -7.563979,
+		"../code/kotlin/basic/bits":          -8.257126,
+		"../code/kotlin/basic/cast.kt":       -8.257126,
+		"../code/kotlin/basic/null":          -8.257126,
+		"../code/kotlin/basic/operations.kt": -8.257126,
+		"../code/kotlin/basic/print.kt":      -8.257126,
+		"../code/kotlin/basic/variables":     -7.563979,
+		"../code/kotlin/basic/when.kt":       -8.257126,
+		"./src/main":                         -8.257126,
+		".card":                              -5.484538,
+		".case":                              -6.177685,
+		".cirru":                             -7.563979,
+		".css":                               -8.257126,
+		".js":                                -7.563979,
+		".json":                              -8.257126,
+		".lang":                              -5.484538,
+		".line":                              -7.563979,
+		".month":                             -7.563979,
+		".name":                              -6.177685,
+		".pair":                              -6.177685,
+		".post":                              -7.563979,
+		".section":                           -7.563979,
+		".title":                             -7.563979,
+		".year":                              -8.257126,
+		"/":                                  -7.158514,
+		"//github.com/fabiomsr/from":         -8.257126,
+		"/node_modules":                      -8.257126,
+		":":                                  -1.825795,
+		"<":                                  -7.563979,
+		"=":                                  -5.079072,
+		">":                                  -7.158514,
+		"@insert":                            -5.484538,
+		"Apr":                                -8.257126,
+		"AuOrYEncZ":                          -8.257126,
+		"B":                                  -7.563979,
+		"BASICS":                             -7.563979,
+		"BJItBtVnq":                          -8.257126,
+		"BJJzKSFVh":                          -8.257126,
+		"BJmtrFN":                            -8.257126,
+		"BJnjOHKV":                           -8.257126,
+		"BJzjOBYNh":                          -8.257126,
+		"Basic":                              -8.257126,
+		"BkAKuHFVh":                          -8.257126,
+		"BkAWFHFV":                           -8.257126,
+		"BkL":                                -8.257126,
+		"BouSYV":                             -8.257126,
+		"ByAjdrYV":                           -8.257126,
+		"ByGYBK":                             -8.257126,
+		"BycYOSFVnqW":                        -8.257126,
+		"ByenOHFNh":                          -8.257126,
+		"ByeztHYEncZ":                        -8.257126,
+		"Classes":                            -8.257126,
+		"FPv":                                -8.257126,
+		"F_rYVn":                             -8.257126,
+		"Functions":                          -8.257126,
+		"GYBtEhqb":                           -8.257126,
+		"Github":                             -8.257126,
+		"H":                                  -6.870832,
+		"HF_SK":                              -8.257126,
+		"HJ":                                 -8.257126,
+		"HJMKOSt":                            -8.257126,
+		"HJQKdrYNh":                          -8.257126,
+		"HJSnOSKN":                           -8.257126,
+		"HJTK_rYN":                           -8.257126,
+		"HJmGtHtVn":                          -8.257126,
+		"HkEsOrFV":                           -8.257126,
+		"HkGcOBtN":                           -8.257126,
+		"HkRCrER":                            -8.257126,
+		"Hk__uBtEhcW":                        -8.257126,
+		"HyVYHKNhc":                          -8.257126,
+		"HyWduBt":                            -8.257126,
+		"HysKrF":                             -8.257126,
+		"IOdSKV":                             -8.257126,
+		"Java":                               -6.177685,
+		"Kotlin":                             -6.177685,
+		"May":                                -8.257126,
+		"Menlo":                              -8.257126,
+		"Nv":                                 -6.465367,
+		"OBtEnqW":                            -8.257126,
+		"Ph_pMR_iX":                          -8.257126,
+		"RWcAq":                              -8.257126,
+		"S":                                  -5.423913,
+		"SJ":                                 -8.257126,
+		"SJgtdStV":                           -8.257126,
+		"SJpWKrKEn":                          -8.257126,
+		"SJs_OSY":                            -8.257126,
+		"SJwtBFN":                            -8.257126,
+		"Sk":                                 -8.257126,
+		"SkLKurKE":                           -8.257126,
+		"SkOFdrYN":                           -8.257126,
+		"SkPj_HY":                            -8.257126,
+		"SkeLXDDlbf":                         -8.257126,
+		"SketBt":                             -8.257126,
+		"SktFdHtV":                           -8.257126,
+		"SktiOrtN":                           -8.257126,
+		"SkzMtSKN":                           -8.257126,
+		"Sy":                                 -8.257126,
+		"SyFddHYE":                           -8.257126,
+		"SyJnOSFEn":                          -8.257126,
+		"SyQn_StEn":                          -8.257126,
+		"SywOOSYE":                           -8.257126,
+		"T":                                  -5.038250,
+		"TFBFN":                              -8.257126,
+		"VF_rFVh":                            -8.257126,
+		"View":                               -8.257126,
+		"W":                                  -5.212604,
+		"WqdHY":                              -8.257126,
+		"YOSKE":                              -8.257126,
+		"Z":                                  -5.484538,
+		"[":                                  -5.549076,
+		"\\":                                 -7.563979,
+		"]":                                  -5.549076,
+		"_BFN":                               -8.257126,
+		"_HFN":                               -8.257126,
+		"__dirname":                          -8.257126,
+		"_oOSY":                              -8.257126,
+		"a":                                  -5.121632,
+		"a.link":                             -7.563979,
+		"aauVImKdc":                          -8.257126,
+		"add":                                -7.158514,
+		"all":                                -8.257126,
+		"an":                                 -8.257126,
+		"anxaXD":                             -8.257126,
+		"aoOBFN":                             -8.257126,
+		"app":                                -8.257126,
+		"app.comp.page":                      -7.563979,
+		"aria":                               -8.257126,
+		"as":                                 -7.158514,
+		"at":                                 -3.814475,
+		"avatar":                             -8.257126,
+		"b":                                  -5.618069,
+		"block":                              -8.257126,
+		"body":                               -7.563979,
+		"bookmark":                           -7.563979,
+		"by":                                 -3.814475,
+		"c":                                  -6.177685,
+		"cFBY":                               -8.257126,
+		"cW":                                 -8.257126,
+		"cZ":                                 -7.158514,
+		"cb":                                 -7.563979,
+		"cd":                                 -7.563979,
+		"charset":                            -7.563979,
+		"cheap":                              -8.257126,
+		"cirru":                              -8.257126,
+		"class":                              -7.563979,
+		"classes.html":                       -8.257126,
+		"clientLogLevel":                     -8.257126,
+		"clojure.string":                     -8.257126,
+		"code":                               -5.484538,
+		"colors":                             -8.257126,
+		"comp":                               -7.563979,
+		"compress":                           -8.257126,
+		"corner":                             -8.257126,
+		"css":                                -8.257126,
+		"css/highlightjs":                    -8.257126,
+		"css/style.css":                      -8.257126,
+		"cursor":                             -8.257126,
+		"d":                                  -6.870832,
+		"dFrF":                               -8.257126,
+		"data":                               -4.961289,
+		"def":                                -7.158514,
+		"defcomp":                            -7.563979,
+		"define":                             -8.257126,
+		"defs":                               -8.257126,
+		"demo":                               -8.257126,
+		"devServer":                          -8.257126,
+		"development":                        -8.257126,
+		"devtool":                            -8.257126,
+		"disableHostCheck":                   -8.257126,
+		"display":                            -8.257126,
+		"dist/":                              -8.257126,
+		"div":                                -7.563979,
+		"doctype":                            -7.563979,
+		"e":                                  -7.563979,
+		"entry":                              -8.257126,
+		"errorDetails":                       -8.257126,
+		"errors":                             -8.257126,
+		"exclude":                            -8.257126,
+		"expr":                               -4.999030,
+		"extensions":                         -8.257126,
+		"f":                                  -7.563979,
+		"false":                              -8.257126,
+		"family":                             -8.257126,
+		"filename":                           -8.257126,
+		"files":                              -8.257126,
+		"font":                               -8.257126,
+		"fs":                                 -7.563979,
+		"fun":                                -8.257126,
+		"functions.html":                     -8.257126,
+		"g":                                  -7.563979,
+		"gcdBKEhq":                           -8.257126,
+		"github":                             -8.257126,
+		"github.css":                         -8.257126,
+		"h":                                  -6.870832,
+		"hWFSYN":                             -8.257126,
+		"h_OBKNncW":                          -8.257126,
+		"hcW":                                -8.257126,
+		"head":                               -7.563979,
+		"host":                               -8.257126,
+		"hot":                                -8.257126,
+		"href":                               -5.772220,
+		"hsl":                                -8.257126,
+		"hsl.core":                           -8.257126,
+		"html":                               -7.563979,
+		"https":                              -8.257126,
+		"hud":                                -8.257126,
+		"i":                                  -8.257126,
+		"i.java":                             -7.563979,
+		"i.kt":                               -7.563979,
+		"i_rF":                               -8.257126,
+		"icon":                               -8.257126,
+		"id":                                 -3.791218,
+		"idBYN":                              -8.257126,
+		"if":                                 -8.257126,
+		"ii.java":                            -8.257126,
+		"ii.kt":                              -8.257126,
+		"index.html":                         -8.257126,
+		"info":                               -8.257126,
+		"inline":                             -8.257126,
+		"ir":                                 -8.257126,
+		"iuHtN":                              -8.257126,
+		"j":                                  -4.999030,
+		"jGbe":                               -8.257126,
+		"java":                               -8.257126,
+		"js":                                 -8.257126,
+		"js/highlight.":                      -8.257126,
+		"k":                                  -8.257126,
+		"kotlin":                             -8.257126,
+		"ksOSKEn":                            -8.257126,
+		"label":                              -8.257126,
+		"leaf":                               -4.179589,
+		"li":                                 -7.158514,
+		"limit":                              -8.257126,
+		"line":                               -6.870832,
+		"link":                               -6.870832,
+		"list":                               -8.257126,
+		"loader":                             -6.311216,
+		"main":                               -7.158514,
+		"map":                                -8.257126,
+		"members":                            -6.647688,
+		"merge":                              -8.257126,
+		"meta":                               -7.563979,
+		"min":                                -8.257126,
+		"mode":                               -8.257126,
+		"module":                             -8.257126,
+		"module.exports":                     -8.257126,
+		"monospace":                          -8.257126,
+		"n":                                  -8.257126,
+		"name":                               -7.563979,
+		"new":                                -8.257126,
+		"nickname":                           -8.257126,
+		"nil":                                -5.038250,
+		"ns":                                 -7.158514,
+		"oSXAyVtQXl":                         -8.257126,
+		"oYOHYNh":                            -8.257126,
+		"on":                                 -8.257126,
+		"operations.java":                    -8.257126,
+		"operations.kt":                      -8.257126,
+		"output":                             -8.257126,
+		"package":                            -8.257126,
+		"page":                               -7.563979,
+		"parse":                              -7.563979,
+		"path":                               -7.158514,
+		"path.join":                          -8.257126,
+		"performance":                        -8.257126,
+		"plugins":                            -8.257126,
+		"pointer":                            -8.257126,
+		"pre.code":                           -5.484538,
+		"print":                              -6.647688,
+		"proc":                               -8.257126,
+		"publicPath":                         -8.257126,
+		"q":                                  -7.563979,
+		"qW":                                 -7.158514,
+		"qZ":                                 -6.647688,
+		"qb":                                 -8.257126,
+		"query":                              -8.257126,
+		"r":                                  -5.212604,
+		"rJFFStE":                            -8.257126,
+		"rJbsdBFEncZ":                        -8.257126,
+		"rJosuHFN":                           -8.257126,
+		"rJqOOSK":                            -8.257126,
+		"rJznuSKEhcZ":                        -8.257126,
+		"read":                               -8.257126,
+		"reasons":                            -8.257126,
+		"refer":                              -7.158514,
+		"rel":                                -6.870832,
+		"require":                            -6.870832,
+		"resolve":                            -8.257126,
+		"respo":                              -8.257126,
+		"respo.comp.space":                   -8.257126,
+		"respo.core":                         -8.257126,
+		"rkHtHKNn":                           -8.257126,
+		"rkZYBKV":                            -8.257126,
+		"rkei_SFV":                           -8.257126,
+		"rkkqOSKVnqZ":                        -8.257126,
+		"root":                               -4.162782,
+		"router":                             -8.257126,
+		"row":                                -7.563979,
+		"rules":                              -8.257126,
+		"ry":                                 -8.257126,
+		"save":                               -8.257126,
+		"say":                                -8.257126,
+		"script":                             -7.158514,
+		"selected":                           -8.257126,
+		"session":                            -8.257126,
+		"set":                                -8.257126,
+		"source":                             -7.563979,
+		"span":                               -7.158514,
+		"src":                                -8.257126,
+		"star":                               -8.257126,
+		"stats":                              -8.257126,
+		"string":                             -8.257126,
+		"style":                              -6.177685,
+		"stylesheet":                         -7.563979,
+		"t":                                  -8.257126,
+		"tBtEh":                              -8.257126,
+		"t_HK":                               -8.257126,
+		"test":                               -7.158514,
+		"text":                               -4.179589,
+		"theme":                              -8.257126,
+		"timings":                            -8.257126,
+		"title":                              -7.563979,
+		"to":                                 -8.257126,
+		"trail":                              -8.257126,
+		"true":                               -5.954541,
+		"type":                               -3.814475,
+		"uBY":                                -8.257126,
+		"ui":                                 -8.257126,
+		"ui.core":                            -8.257126,
+		"ui/flex":                            -8.257126,
+		"ul":                                 -8.257126,
+		"url":                                -7.158514,
+		"use":                                -7.563979,
+		"users":                              -8.257126,
+		"utf":                                -7.563979,
+		"v":                                  -5.954541,
+		"vY_HYE":                             -8.257126,
+		"var":                                -8.257126,
+		"warnings":                           -8.257126,
+		"webpack":                            -7.158514,
+		"webpack.NamedModulesPlugin":         -8.257126,
+		"width":                              -8.257126,
+		"x":                                  -6.870832,
+		"y":                                  -7.158514,
+		"yT":                                 -8.257126,
+		"yj":                                 -8.257126,
+		"ytgdqU":                             -8.257126,
+		"zOOSKN":                             -8.257126,
+		"{":                                  -3.351852,
+		"|":                                  -2.573547,
+		"||":                                 -8.257126,
+		"}":                                  -3.351852,
 	},
 	"Clarion": map[string]float64{
 		"!":                        -3.052770,
@@ -20783,6 +21859,158 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":                        -6.188264,
 		"~":                        -6.593729,
 	},
+	"Classic ASP": map[string]float64{
+		",":                               -5.808142,
+		"-":                               -5.808142,
+		"1":                               -4.709530,
+		"2":                               -5.808142,
+		":":                               -5.808142,
+		"<!DOCTYPE>":                      -5.808142,
+		"<%>":                             -4.709530,
+		"</Abbrev>":                       -5.808142,
+		"</Acronym>":                      -5.808142,
+		"</GlossDef>":                     -5.808142,
+		"</GlossDiv>":                     -5.808142,
+		"</GlossEntry>":                   -5.808142,
+		"</GlossList>":                    -5.808142,
+		"</GlossTerm>":                    -5.808142,
+		"</glossary>":                     -5.808142,
+		"</para>":                         -5.808142,
+		"</title>":                        -5.114995,
+		"<Abbrev>":                        -5.808142,
+		"<Acronym>":                       -5.808142,
+		"<GlossDef>":                      -5.808142,
+		"<GlossDiv>":                      -5.808142,
+		"<GlossEntry>":                    -5.808142,
+		"<GlossList>":                     -5.808142,
+		"<GlossSee>":                      -5.808142,
+		"<GlossSeeAlso>":                  -5.114995,
+		"<GlossTerm>":                     -5.808142,
+		"<glossary>":                      -5.808142,
+		"<para>":                          -5.808142,
+		"<title>":                         -5.114995,
+		"A":                               -5.808142,
+		"ASPUnit":                         -3.169085,
+		"ASPUnitRunner":                   -3.862232,
+		"ASPUnitRunnerAddPage":            -5.114995,
+		"ASPUnitRunnerAddPages":           -5.114995,
+		"ASPUnitRunnerPassesToRenderer":   -5.114995,
+		"ASPUnitRunnerRunAddsCurrentPage": -5.114995,
+		"ASPUnitUIRendererMockTheme":      -4.709530,
+		"AddModule":                       -5.114995,
+		"AddPage":                         -4.709530,
+		"AddPages":                        -5.114995,
+		"Array":                           -4.709530,
+		"Call":                            -3.243193,
+		"Class":                           -5.114995,
+		"ContentType":                     -5.114995,
+		"Count":                           -4.709530,
+		"Create":                          -5.114995,
+		"CreateLifeCycle":                 -5.808142,
+		"CreateModule":                    -5.114995,
+		"CreateTest":                      -4.421848,
+		"DTD":                             -5.808142,
+		"Dim":                             -4.709530,
+		"DocBook":                         -5.808142,
+		"DocBook.":                        -5.808142,
+		"EN":                              -5.808142,
+		"End":                             -3.728701,
+		"Equal":                           -4.421848,
+		"ExecuteGlobal":                   -5.114995,
+		"Explicit":                        -5.808142,
+		"Generalized":                     -5.808142,
+		"ID=":                             -5.808142,
+		"ISO":                             -5.808142,
+		"Language":                        -5.808142,
+		"Markup":                          -5.808142,
+		"New":                             -4.709530,
+		"Nothing":                         -4.421848,
+		"OASIS":                           -5.808142,
+		"Option":                          -5.808142,
+		"OtherTerm=":                      -4.709530,
+		"PUBLIC":                          -5.808142,
+		"Pages":                           -4.709530,
+		"Public":                          -5.808142,
+		"Render":                          -5.114995,
+		"Response":                        -5.114995,
+		"Run":                             -3.862232,
+		"S":                               -5.808142,
+		"SGML":                            -5.808142,
+		"Set":                             -4.198705,
+		"Setup":                           -5.114995,
+		"SortAs=":                         -5.808142,
+		"Standard":                        -5.808142,
+		"Sub":                             -3.169085,
+		"Teardown":                        -5.114995,
+		"Test":                            -4.709530,
+		"Tests":                           -5.114995,
+		"Theme":                           -5.114995,
+		"True":                            -5.114995,
+		"V3":                              -5.808142,
+		"XML":                             -5.808142,
+		"_":                               -2.917771,
+		"a":                               -4.709530,
+		"add":                             -4.709530,
+		"adds":                            -5.114995,
+		"are":                             -5.114995,
+		"as":                              -5.808142,
+		"blnRendererRan":                  -4.709530,
+		"can":                             -5.808142,
+		"collection":                      -5.114995,
+		"content":                         -5.808142,
+		"create":                          -5.808142,
+		"current":                         -5.114995,
+		"example":                         -5.114995,
+		"execute":                         -5.808142,
+		"executed":                        -5.808142,
+		"for":                             -5.808142,
+		"from":                            -5.808142,
+		"global":                          -5.114995,
+		"glossary":                        -5.114995,
+		"html":                            -5.808142,
+		"http":                            -5.808142,
+		"if":                              -5.114995,
+		"implments":                       -5.808142,
+		"indicate":                        -5.808142,
+		"instance":                        -5.808142,
+		"json":                            -5.808142,
+		"language":                        -5.808142,
+		"languages":                       -5.808142,
+		"markup":                          -5.114995,
+		"meta":                            -5.808142,
+		"method":                          -4.016383,
+		"mock":                            -5.808142,
+		"no":                              -5.114995,
+		"objLifecycle":                    -4.421848,
+		"objService":                      -3.323236,
+		"objValue":                        -5.808142,
+		"of":                              -5.808142,
+		"on":                              -5.808142,
+		"org":                             -5.808142,
+		"page":                            -4.709530,
+		"pages":                           -4.421848,
+		"render":                          -5.808142,
+		"renderer":                        -5.114995,
+		"sample":                          -5.808142,
+		"service":                         -5.808142,
+		"set":                             -5.808142,
+		"sets":                            -5.808142,
+		"should":                          -4.421848,
+		"specified":                       -4.709530,
+		"such":                            -5.808142,
+		"taken":                           -5.808142,
+		"testing":                         -5.808142,
+		"text":                            -5.808142,
+		"that":                            -4.421848,
+		"theme":                           -5.808142,
+		"to":                              -4.198705,
+		"type":                            -5.808142,
+		"use":                             -5.808142,
+		"used":                            -5.808142,
+		"variable":                        -5.808142,
+		"we":                              -5.808142,
+		"xml":                             -5.808142,
+	},
 	"Clean": map[string]float64{
 		"!":                -6.005367,
 		"(":                -2.709531,
@@ -28730,6 +29958,242 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"{":                                -4.409155,
 		"}":                                -4.344617,
 	},
+	"Dafny": map[string]float64{
+		"!":             -4.447814,
+		"&&":            -4.055772,
+		"'":             -7.666690,
+		"(":             -2.393691,
+		")":             -2.383486,
+		"*":             -7.666690,
+		"+":             -5.101741,
+		",":             -3.816543,
+		"-":             -6.973543,
+		"..":            -5.874931,
+		".LIoOpReceive": -7.666690,
+		".LIoOpSend":    -7.666690,
+		".config":       -6.973543,
+		".r":            -7.666690,
+		".s":            -7.666690,
+		"////////////////////////////////////////////////////////////////////////////": -5.587249,
+		":":                                  -2.870900,
+		";":                                  -2.822503,
+		"<":                                  -4.370853,
+		"<A>":                                -6.568078,
+		"<CLockPacket>":                      -6.973543,
+		"<EndPoint,>":                        -6.973543,
+		"<K,V>":                              -6.057252,
+		"<LockIo>":                           -6.973543,
+		"<T>":                                -6.057252,
+		"<UdpEvent>":                         -7.666690,
+		"<byte>":                             -5.268795,
+		"<char>":                             -6.973543,
+		"=":                                  -2.484907,
+		">":                                  -4.488636,
+		"?":                                  -5.587249,
+		"AbstractifyCLockPacket":             -6.057252,
+		"AbstractifyCNode":                   -6.973543,
+		"Address":                            -6.568078,
+		"AdvanceTime":                        -6.568078,
+		"Arrays":                             -7.666690,
+		"CLockPacket":                        -7.666690,
+		"CLocked":                            -7.666690,
+		"CNode":                              -5.587249,
+		"CNodeValid":                         -6.568078,
+		"Close":                              -7.666690,
+		"CommandLineArgs":                    -7.666690,
+		"Common__SeqIsUniqueDef_i":           -7.666690,
+		"Common__UdpClient_i":                -7.666690,
+		"Config":                             -6.280396,
+		"Construct":                          -6.973543,
+		"CopySeqIntoArray":                   -7.666690,
+		"EP":                                 -7.666690,
+		"EndPoint":                           -6.057252,
+		"EndPointIsValidIPV":                 -7.666690,
+		"Environment_s":                      -7.666690,
+		"FileSystemState":                    -7.666690,
+		"GetAddress":                         -7.666690,
+		"GetCommandLineArg":                  -7.666690,
+		"GetDebugTimeTicks":                  -7.666690,
+		"GetPort":                            -6.973543,
+		"GetTime":                            -7.666690,
+		"HostConstants":                      -6.973543,
+		"HostEnvironment":                    -5.587249,
+		"IPEndPoint":                         -6.057252,
+		"Impl_Node_i":                        -7.666690,
+		"IsOpen":                             -6.057252,
+		"LIoOp":                              -7.666690,
+		"LIoOpReadClock":                     -7.666690,
+		"LIoOpReceive":                       -6.973543,
+		"LIoOpSend":                          -6.568078,
+		"LIoOpTimeoutReceive":                -7.666690,
+		"LPacket":                            -6.280396,
+		"LocalAddress":                       -7.666690,
+		"LocalEndPoint":                      -5.720780,
+		"Logic__Option_i":                    -7.666690,
+		"MaxPacketSize":                      -6.973543,
+		"Message_i":                          -7.666690,
+		"Native__Io_s":                       -7.666690,
+		"Native__NativeTypes_s":              -7.666690,
+		"Node":                               -6.973543,
+		"NodeAcceptImpl":                     -7.666690,
+		"NodeGrantImpl":                      -7.666690,
+		"NodeInit":                           -7.666690,
+		"NodeInitImpl":                       -7.666690,
+		"None":                               -6.973543,
+		"NowState":                           -6.973543,
+		"NumCommandLineArgs":                 -7.666690,
+		"OkState":                            -6.973543,
+		"OptionCLockPacketValid":             -6.973543,
+		"PacketParsing_i":                    -7.666690,
+		"Port":                               -6.568078,
+		"Protocol_Node_i":                    -7.666690,
+		"Receive":                            -7.666690,
+		"RecordTiming":                       -7.666690,
+		"Send":                               -7.666690,
+		"SeqIsUnique":                        -7.666690,
+		"Some":                               -7.666690,
+		"Time":                               -7.666690,
+		"UdpClient":                          -6.973543,
+		"UdpEvent":                           -7.666690,
+		"UdpPacket":                          -7.666690,
+		"UdpState":                           -6.973543,
+		"Valid":                              -7.666690,
+		"ValidConfig":                        -6.568078,
+		"ValidConfigIndex":                   -6.973543,
+		"[":                                  -4.299394,
+		"]":                                  -4.299394,
+		"_":                                  -5.181784,
+		"_FFFF_FFFF_FFFF":                    -7.666690,
+		"addr":                               -6.057252,
+		"addr.Length":                        -7.666690,
+		"arg":                                -6.280396,
+		"array":                              -5.720780,
+		"axiom":                              -4.299394,
+		"bool":                               -5.469466,
+		"buffer":                             -5.720780,
+		"buffer.Length":                      -6.973543,
+		"byte":                               -6.973543,
+		"c":                                  -5.720780,
+		"c.config":                           -6.973543,
+		"c.my_index":                         -7.666690,
+		"class":                              -5.469466,
+		"config":                             -5.587249,
+		"constants":                          -6.973543,
+		"constructor":                        -5.874931,
+		"datatype":                           -6.973543,
+		"delay":                              -6.973543,
+		"dst":                                -5.874931,
+		"dst.Length":                         -6.973543,
+		"dstIndex":                           -5.874931,
+		"e":                                  -6.568078,
+		"else":                               -6.568078,
+		"ensures":                            -3.816543,
+		"env":                                -4.531196,
+		"env.Valid":                          -5.587249,
+		"env.constants.CommandLineArgs":      -6.568078,
+		"env.now":                            -6.973543,
+		"env.now.now":                        -6.057252,
+		"env.ok":                             -6.057252,
+		"env.ok.ok":                          -5.469466,
+		"env.udp":                            -6.568078,
+		"env.udp.history":                    -5.587249,
+		"ep":                                 -6.568078,
+		"ep.Address":                         -7.666690,
+		"ep.Port":                            -7.666690,
+		"ep.env":                             -7.666690,
+		"epoch":                              -6.973543,
+		"false":                              -5.874931,
+		"files":                              -6.973543,
+		"forall":                             -6.568078,
+		"fresh":                              -5.874931,
+		"function":                           -5.027633,
+		"ghost":                              -5.181784,
+		"held":                               -6.973543,
+		"history":                            -7.666690,
+		"i":                                  -5.101741,
+		"if":                                 -6.280396,
+		"import":                             -5.587249,
+		"in":                                 -7.666690,
+		"include":                            -5.587249,
+		"index":                              -6.973543,
+		"int":                                -4.200954,
+		"ios":                                -4.776318,
+		"ipAddress":                          -6.568078,
+		"len":                                -6.057252,
+		"localEP":                            -6.973543,
+		"localEP.EP":                         -7.666690,
+		"locked_packet":                      -6.568078,
+		"locked_packet.None":                 -7.666690,
+		"locked_packet.Some":                 -6.973543,
+		"locked_packet.v":                    -6.973543,
+		"locked_packet.v.src":                -7.666690,
+		"method":                             -4.894101,
+		"modifies":                           -5.027633,
+		"module":                             -6.973543,
+		"my_index":                           -5.587249,
+		"n":                                  -6.568078,
+		"n.config":                           -7.666690,
+		"n.epoch":                            -7.666690,
+		"n.held":                             -7.666690,
+		"n.my_index":                         -7.666690,
+		"name":                               -7.666690,
+		"newTime":                            -6.973543,
+		"node":                               -6.280396,
+		"node.config":                        -7.666690,
+		"node.held":                          -7.666690,
+		"node.my_index":                      -7.666690,
+		"now":                                -6.568078,
+		"null":                               -4.488636,
+		"ok":                                 -4.670958,
+		"old":                                -5.181784,
+		"oldTime":                            -6.568078,
+		"opened":                             -5.587249,
+		"packet":                             -6.973543,
+		"packet.None":                        -7.666690,
+		"packet.Some":                        -6.973543,
+		"packet.v":                           -7.666690,
+		"packet.v.src":                       -7.666690,
+		"port":                               -6.568078,
+		"predicate":                          -6.057252,
+		"print":                              -6.973543,
+		"reads":                              -5.181784,
+		"realTimeBound":                      -6.973543,
+		"remote":                             -6.057252,
+		"remote.EP":                          -6.973543,
+		"requires":                           -4.111342,
+		"returns":                            -5.101741,
+		"s":                                  -5.101741,
+		"s.config":                           -6.057252,
+		"s.epoch":                            -7.666690,
+		"s.held":                             -7.666690,
+		"s.my_index":                         -6.568078,
+		"seq":                                -5.469466,
+		"src":                                -6.280396,
+		"srcIndex":                           -5.874931,
+		"static":                             -5.587249,
+		"t":                                  -6.280396,
+		"then":                               -6.973543,
+		"this":                               -4.958640,
+		"this.IsOpen":                        -7.666690,
+		"time":                               -7.666690,
+		"timeLimit":                          -6.280396,
+		"timedOut":                           -6.568078,
+		"transfer_packet":                    -6.568078,
+		"transfer_packet.msg.transfer_epoch": -6.973543,
+		"transfer_packet.src":                -7.666690,
+		"true":                               -7.666690,
+		"type":                               -6.973543,
+		"udp":                                -6.057252,
+		"udp.IsOpen":                         -7.666690,
+		"udp.LocalEndPoint":                  -7.666690,
+		"udp.env":                            -7.666690,
+		"uint":                               -4.776318,
+		"var":                                -5.720780,
+		"{":                                  -3.696398,
+		"|":                                  -4.334486,
+		"||":                                 -6.973543,
+		"}":                                  -3.659357,
+	},
 	"Dart": map[string]float64{
 		"(":          -2.460809,
 		")":          -2.460809,
@@ -29540,97 +31004,287 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":             -4.018183,
 	},
 	"EJS": map[string]float64{
-		"%":                -4.108138,
-		".title":           -5.206750,
-		"/h":               -4.290459,
-		"0":                -4.290459,
-		"1":                -5.899897,
-		"10px":             -5.206750,
-		"9":                -5.899897,
-		"<":                -3.191847,
-		"<%>":              -2.604060,
-		"</a>":             -4.513603,
-		"</button>":        -5.206750,
-		"</center>":        -4.801285,
-		"</div>":           -3.127309,
-		"</p>":             -3.702673,
-		"</strong>":        -3.702673,
-		"<a>":              -4.513603,
-		"<button>":         -5.206750,
-		"<center>":         -4.801285,
-		"<div>":            -3.127309,
-		"<p>":              -3.702673,
-		"<strong>":         -3.702673,
-		"=":                -4.290459,
-		">":                -3.066684,
-		"Completed":        -5.206750,
-		"In":               -5.899897,
-		"No":               -4.801285,
-		"Pieces":           -4.290459,
-		"Practice":         -5.206750,
-		"Progress":         -5.206750,
-		"There":            -5.899897,
-		"You":              -4.801285,
-		"[":                -5.206750,
-		"]":                -5.206750,
-		"a":                -5.899897,
-		"active":           -5.899897,
-		"assigned.":        -5.899897,
-		"be":               -5.899897,
-		"bottom":           -5.899897,
-		"btn":              -3.702673,
-		"class":            -5.206750,
-		"class=":           -3.127309,
-		"col":              -5.899897,
-		"completedPieces":  -4.108138,
-		"content":          -5.899897,
-		"dashboard":        -5.206750,
-		"depend":           -5.206750,
-		"else":             -4.108138,
-		"focus":            -5.206750,
-		"for":              -5.206750,
-		"h":                -3.953987,
-		"have":             -4.801285,
-		"href=":            -4.513603,
-		"i":                -3.414991,
-		"id":               -4.513603,
-		"id=":              -4.513603,
-		"if":               -4.108138,
-		"in":               -5.899897,
-		"inProgressPieces": -4.108138,
-		"include":          -3.953987,
-		"length":           -4.108138,
-		"lg":               -5.899897,
-		"main":             -5.899897,
-		"margin":           -5.899897,
-		"md":               -5.206750,
-		"no":               -5.899897,
-		"none":             -5.899897,
-		"off":              -5.206750,
-		"parts":            -5.206750,
-		"piece":            -5.899897,
-		"pieces":           -4.513603,
-		"pieces.length":    -5.899897,
-		"practice":         -5.206750,
-		"primary":          -5.206750,
-		"primaryAccount":   -5.206750,
-		"problem":          -5.899897,
-		"purple":           -5.899897,
-		"role=":            -5.899897,
-		"round":            -5.206750,
-		"section":          -5.899897,
-		"seems":            -5.899897,
-		"sidebar":          -4.801285,
-		"student":          -4.801285,
-		"style=":           -5.206750,
-		"super":            -5.206750,
-		"teacher":          -4.801285,
-		"title":            -5.899897,
-		"to":               -4.801285,
-		"type=":            -5.206750,
-		"undefined":        -4.801285,
-		"user":             -5.206750,
-		"var":              -5.206750,
+		"#def.admin_head":      -7.122060,
+		"#def.admin_header":    -7.122060,
+		"#def.footer":          -7.122060,
+		"#line_items":          -7.122060,
+		"#order":               -7.122060,
+		"%":                    -5.330300,
+		"&":                    -7.122060,
+		"(":                    -6.023448,
+		")":                    -6.023448,
+		",":                    -6.428913,
+		"-":                    -3.986566,
+		".title":               -6.428913,
+		"/h":                   -5.176150,
+		"/line_items":          -7.122060,
+		"/order":               -7.122060,
+		"0":                    -5.176150,
+		"1":                    -7.122060,
+		"100":                  -7.122060,
+		"10px":                 -6.428913,
+		"12":                   -5.330300,
+		"1em":                  -7.122060,
+		"2":                    -5.176150,
+		"200px":                -7.122060,
+		"48":                   -7.122060,
+		"6":                    -4.414010,
+		"9":                    -7.122060,
+		":":                    -5.042618,
+		";":                    -6.428913,
+		"<":                    -4.177621,
+		"<!DOCTYPE>":           -6.428913,
+		"<%>":                  -3.720862,
+		"</a>":                 -5.176150,
+		"</body>":              -6.428913,
+		"</button>":            -4.819475,
+		"</center>":            -6.023448,
+		"</div>":               -2.656152,
+		"</form>":              -7.122060,
+		"</head>":              -6.428913,
+		"</html>":              -6.428913,
+		"</i>":                 -7.122060,
+		"</p>":                 -4.924835,
+		"</script>":            -6.428913,
+		"</section>":           -6.428913,
+		"</span>":              -6.428913,
+		"</strong>":            -4.924835,
+		"</textarea>":          -6.428913,
+		"<a>":                  -5.176150,
+		"<body>":               -6.428913,
+		"<br/>":                -5.330300,
+		"<button>":             -4.819475,
+		"<center>":             -6.023448,
+		"<div>":                -2.656152,
+		"<form>":               -7.122060,
+		"<head>":               -6.428913,
+		"<html>":               -6.428913,
+		"<i>":                  -7.122060,
+		"<input>":              -6.428913,
+		"<link>":               -6.428913,
+		"<p>":                  -4.924835,
+		"<script>":             -6.428913,
+		"<section>":            -6.428913,
+		"<span>":               -6.428913,
+		"<strong>":             -4.924835,
+		"<textarea>":           -6.428913,
+		"=":                    -4.231688,
+		">":                    -4.077537,
+		"Active":               -7.122060,
+		"Analytics":            -7.122060,
+		"Author":               -7.122060,
+		"Close":                -7.122060,
+		"Completed":            -6.428913,
+		"Confirm":              -7.122060,
+		"Date":                 -7.122060,
+		"Description":          -7.122060,
+		"EmailTemplate":        -7.122060,
+		"Google":               -7.122060,
+		"HTML":                 -7.122060,
+		"Hi":                   -7.122060,
+		"In":                   -7.122060,
+		"Keywords":             -7.122060,
+		"Last":                 -7.122060,
+		"Modal":                -7.122060,
+		"Name":                 -7.122060,
+		"No":                   -6.023448,
+		"Order":                -7.122060,
+		"Pieces":               -5.512622,
+		"Practice":             -6.428913,
+		"Progress":             -6.428913,
+		"Settings":             -7.122060,
+		"Site":                 -6.428913,
+		"TEXT":                 -7.122060,
+		"Tag":                  -7.122060,
+		"Thank":                -7.122060,
+		"There":                -7.122060,
+		"Title":                -7.122060,
+		"Total":                -7.122060,
+		"UID":                  -7.122060,
+		"URL":                  -7.122060,
+		"Update":               -6.428913,
+		"You":                  -6.023448,
+		"[":                    -6.428913,
+		"]":                    -6.428913,
+		"a":                    -7.122060,
+		"active":               -7.122060,
+		"aria":                 -6.023448,
+		"assigned.":            -7.122060,
+		"auto":                 -6.428913,
+		"bar":                  -7.122060,
+		"be":                   -7.122060,
+		"bottom":               -7.122060,
+		"btn":                  -4.177621,
+		"cell":                 -3.986566,
+		"center":               -6.428913,
+		"class":                -5.735766,
+		"class=":               -2.497087,
+		"col":                  -3.789855,
+		"com":                  -7.122060,
+		"completedPieces":      -5.330300,
+		"confirm":              -6.428913,
+		"content":              -6.428913,
+		"dashboard":            -6.428913,
+		"data":                 -5.176150,
+		"default":              -5.330300,
+		"depend":               -6.428913,
+		"dismiss":              -7.122060,
+		"display_amount":       -7.122060,
+		"display_price":        -7.122060,
+		"display_total":        -7.122060,
+		"ect":                  -6.023448,
+		"editor":               -7.122060,
+		"else":                 -5.330300,
+		"email":                -7.122060,
+		"example":              -7.122060,
+		"fade":                 -7.122060,
+		"fecha":                -7.122060,
+		"fecha.format":         -6.428913,
+		"focus":                -6.428913,
+		"for":                  -6.023448,
+		"form":                 -6.428913,
+		"full":                 -5.512622,
+		"group":                -6.428913,
+		"h":                    -4.924835,
+		"have":                 -6.023448,
+		"header":               -5.512622,
+		"header_assets":        -7.122060,
+		"height":               -5.512622,
+		"hidden":               -7.122060,
+		"href=":                -4.724165,
+		"html":                 -6.023448,
+		"i":                    -4.637153,
+		"id":                   -5.735766,
+		"id=":                  -5.330300,
+		"if":                   -5.330300,
+		"in":                   -7.122060,
+		"inProgressPieces":     -5.330300,
+		"include":              -4.819475,
+		"index":                -7.122060,
+		"it.posts":             -7.122060,
+		"it.site.description":  -7.122060,
+		"it.site.gaUID":        -7.122060,
+		"it.site.keywords":     -7.122060,
+		"it.site.name":         -7.122060,
+		"it.site.template":     -7.122060,
+		"it.site.url":          -7.122060,
+		"ja":                   -7.122060,
+		"js":                   -5.735766,
+		"label":                -6.428913,
+		"launch":               -7.122060,
+		"layout":               -5.735766,
+		"length":               -5.330300,
+		"lg":                   -7.122060,
+		"main":                 -7.122060,
+		"margin":               -7.122060,
+		"md":                   -5.735766,
+		"missing":              -7.122060,
+		"modal":                -6.428913,
+		"mx":                   -6.428913,
+		"mxn1":                 -6.428913,
+		"my2":                  -7.122060,
+		"myModal":              -7.122060,
+		"name":                 -6.428913,
+		"name=":                -6.023448,
+		"no":                   -7.122060,
+		"none":                 -7.122060,
+		"number":               -6.428913,
+		"off":                  -6.428913,
+		"one":                  -7.122060,
+		"order":                -6.428913,
+		"order.":               -7.122060,
+		"padding":              -7.122060,
+		"parts":                -6.428913,
+		"piece":                -7.122060,
+		"pieces":               -5.735766,
+		"pieces.length":        -7.122060,
+		"placeholder=":         -6.428913,
+		"post":                 -6.428913,
+		"post.author.fullName": -7.122060,
+		"post.created_on":      -7.122060,
+		"post.last_update":     -7.122060,
+		"post.title":           -7.122060,
+		"practice":             -6.428913,
+		"primary":              -6.428913,
+		"primaryAccount":       -6.428913,
+		"problem":              -7.122060,
+		"pull":                 -7.122060,
+		"purple":               -7.122060,
+		"px1":                  -6.023448,
+		"quantity":             -7.122060,
+		"rel=":                 -6.428913,
+		"require":              -7.122060,
+		"required=":            -6.023448,
+		"reset":                -7.122060,
+		"right":                -6.428913,
+		"role=":                -6.428913,
+		"round":                -6.428913,
+		"row":                  -4.724165,
+		"rows=":                -7.122060,
+		"sample":               -7.122060,
+		"section":              -7.122060,
+		"seems":                -7.122060,
+		"sidebar":              -5.735766,
+		"slug":                 -7.122060,
+		"sm":                   -3.863963,
+		"src=":                 -6.428913,
+		"student":              -6.023448,
+		"style=":               -4.924835,
+		"super":                -6.428913,
+		"table":                -4.724165,
+		"target":               -7.122060,
+		"teacher":              -6.023448,
+		"template":             -5.735766,
+		"times":                -7.122060,
+		"title":                -6.023448,
+		"title=":               -7.122060,
+		"to":                   -6.023448,
+		"toggle":               -7.122060,
+		"top":                  -7.122060,
+		"true":                 -7.122060,
+		"type":                 -7.122060,
+		"type=":                -4.724165,
+		"undefined":            -6.023448,
+		"update":               -6.428913,
+		"url":                  -7.122060,
+		"user":                 -6.428913,
+		"value=":               -7.122060,
+		"var":                  -6.023448,
+		"warning":              -7.122060,
+		"width":                -7.122060,
+		"x":                    -7.122060,
+		"xxx":                  -7.122060,
+		"you":                  -7.122060,
+		"your":                 -7.122060,
+		"{":                    -3.133076,
+		"}":                    -3.133076,
+		"~":                    -6.428913,
+		"ア":                    -7.122060,
+		"イ":                    -6.428913,
+		"ウ":                    -7.122060,
+		"サ":                    -7.122060,
+		"セ":                    -7.122060,
+		"タ":                    -6.428913,
+		"ッ":                    -7.122060,
+		"デ":                    -7.122060,
+		"ト":                    -6.428913,
+		"ビ":                    -7.122060,
+		"プ":                    -6.023448,
+		"ュ":                    -7.122060,
+		"リ":                    -7.122060,
+		"ル":                    -7.122060,
+		"レ":                    -6.428913,
+		"ン":                    -7.122060,
+		"ー":                    -6.428913,
+		"保":                    -7.122060,
+		"存":                    -7.122060,
+		"新":                    -7.122060,
+		"日":                    -7.122060,
+		"更":                    -7.122060,
+		"最":                    -7.122060,
+		"終":                    -7.122060,
+		"編":                    -7.122060,
+		"集":                    -7.122060,
 	},
 	"EML": map[string]float64{
 		"+":                              -2.788093,
@@ -36237,6 +37891,61 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"{":                                  -4.405702,
 		"}":                                  -4.492714,
 	},
+	"Futhark": map[string]float64{
+		"&&":               -5.043425,
+		"(":                -2.774742,
+		")":                -2.774742,
+		"*":                -5.043425,
+		"+":                -3.502980,
+		",":                -2.963984,
+		"-":                -3.502980,
+		"/":                -4.755743,
+		":":                -3.433987,
+		"<":                -5.043425,
+		"=":                -3.744142,
+		">":                -4.062596,
+		"[":                -2.190794,
+		"\\":               -4.350278,
+		"]":                -2.190794,
+		"b":                -5.448890,
+		"blur":             -4.755743,
+		"bs":               -3.944813,
+		"bs_row":           -5.448890,
+		"channel":          -5.043425,
+		"col":              -3.433987,
+		"cols":             -3.197598,
+		"combine_channels": -5.448890,
+		"do":               -6.142037,
+		"else":             -6.142037,
+		"f":                -3.577088,
+		"for":              -6.142037,
+		"g":                -5.448890,
+		"gs":               -3.944813,
+		"gs_row":           -5.448890,
+		"i":                -4.755743,
+		"if":               -6.142037,
+		"image":            -3.502980,
+		"in":               -5.043425,
+		"iota":             -5.448890,
+		"iterations":       -5.448890,
+		"let":              -3.839452,
+		"loop":             -6.142037,
+		"main":             -6.142037,
+		"map":              -4.350278,
+		"new_value":        -5.448890,
+		"pixel":            -4.755743,
+		"r":                -5.448890,
+		"row":              -3.308824,
+		"rows":             -3.197598,
+		"rs":               -3.944813,
+		"rs_row":           -5.448890,
+		"split_channels":   -6.142037,
+		"sum":              -5.448890,
+		"then":             -6.142037,
+		"u":                -4.196127,
+		"unsafe":           -6.142037,
+		"unzip":            -5.448890,
+	},
 	"G-code": map[string]float64{
 		"$":                 -4.561339,
 		"(":                 -6.027676,
@@ -39321,6 +41030,880 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"{":                                    -7.036588,
 		"}":                                    -7.036588,
 	},
+	"GEDCOM": map[string]float64{
+		"!":                          -8.434138,
+		"&":                          -9.127285,
+		"(":                          -7.335525,
+		")":                          -7.335525,
+		",":                          -3.246752,
+		"-":                          -4.922592,
+		"/":                          -8.434138,
+		"/Ashley/":                   -9.127285,
+		"/Baring/":                   -8.434138,
+		"/Bingham/":                  -9.127285,
+		"/Boothby/":                  -8.434138,
+		"/Boude/":                    -9.127285,
+		"/Burke_Roche/":              -8.028673,
+		"/Burnaby/":                  -8.434138,
+		"/Campbell":                  -9.127285,
+		"/Campbell/":                 -9.127285,
+		"/Cavendish":                 -9.127285,
+		"/Crombie/":                  -9.127285,
+		"/Cunningham/":               -9.127285,
+		"/Curtain/":                  -9.127285,
+		"/Curzon":                    -9.127285,
+		"/Denison/":                  -9.127285,
+		"/Gill/":                     -8.028673,
+		"/Gordon":                    -9.127285,
+		"/Guinness/":                 -9.127285,
+		"/Hamilton/":                 -9.127285,
+		"/Hanover/":                  -5.831448,
+		"/Haraldsen/":                -9.127285,
+		"/Harris/":                   -9.127285,
+		"/Hicks/":                    -9.127285,
+		"/Hodgson/":                  -9.127285,
+		"/Hohenzollern/":             -7.740990,
+		"/Just/":                     -9.127285,
+		"/Kirby/":                    -9.127285,
+		"/Lascelles/":                -9.127285,
+		"/Littlejohn/":               -8.434138,
+		"/Loisinger/":                -9.127285,
+		"/Magnus/":                   -9.127285,
+		"/Manos/":                    -9.127285,
+		"/Marr/":                     -9.127285,
+		"/Marshal/":                  -9.127285,
+		"/McCorquodale/":             -9.127285,
+		"/Mountbatten/":              -7.047843,
+		"/Oldenburg/":                -6.930060,
+		"/Reuss/":                    -9.127285,
+		"/Roche/":                    -9.127285,
+		"/Romanov/":                  -6.729390,
+		"/Salisbury/":                -9.127285,
+		"/Smith/":                    -9.127285,
+		"/Spencer/":                  -7.517847,
+		"/Strong/":                   -9.127285,
+		"/Stuart/":                   -9.127285,
+		"/Taillefer/":                -9.127285,
+		"/Ulick/":                    -9.127285,
+		"/Wellesley/":                -9.127285,
+		"/Windsor/":                  -7.740990,
+		"/Wittelsbach/":              -8.028673,
+		"/Wood/":                     -8.434138,
+		"/Work/":                     -8.028673,
+		"/de_Polignac/":              -9.127285,
+		"/la_Fontaine/":              -9.127285,
+		"/von_Bassewitz/":            -9.127285,
+		"/von_Harrach/":              -9.127285,
+		"/von_Hauke/":                -8.434138,
+		"/von_Humboldt/":             -9.127285,
+		"/von_Rauch/":                -9.127285,
+		"/von_Salviati/":             -9.127285,
+		":":                          -6.930060,
+		">":                          -5.438405,
+		"@":                          -2.029736,
+		"@F":                         -2.505879,
+		"@I":                         -3.002601,
+		"@S":                         -9.127285,
+		"@cleveland.Freenet.Edu":     -9.127285,
+		"@cleveland.freenet.edu":     -9.127285,
+		"ABT":                        -9.127285,
+		"ADDR":                       -9.127285,
+		"ANSEL":                      -9.127285,
+		"APR":                        -5.949231,
+		"AUG":                        -5.869188,
+		"Aachen":                     -9.127285,
+		"Abbey":                      -8.434138,
+		"Abercorn":                   -8.434138,
+		"Adalbert":                   -9.127285,
+		"Adelaide":                   -8.434138,
+		"Adelaide/Hanover/":          -9.127285,
+		"Adelheid":                   -9.127285,
+		"Adolf":                      -9.127285,
+		"Adolphe":                    -9.127285,
+		"Adolphus":                   -9.127285,
+		"Agnes":                      -8.434138,
+		"Albert":                     -7.335525,
+		"Albert/Windsor/":            -9.127285,
+		"Albert_I":                   -9.127285,
+		"Alberta":                    -9.127285,
+		"Albrecht":                   -9.127285,
+		"Albret":                     -9.127285,
+		"Alexander":                  -7.517847,
+		"Alexander/Windsor/":         -9.127285,
+		"Alexander_I":                -8.434138,
+		"Alexander_II":               -9.127285,
+		"Alexander_III":              -9.127285,
+		"Alexandra":                  -7.181375,
+		"Alexandrine":                -8.434138,
+		"Alexandrovich":              -8.028673,
+		"Alexandrovna":               -9.127285,
+		"Alexia":                     -9.127285,
+		"Alexis":                     -9.127285,
+		"Alfonso_XII":                -9.127285,
+		"Alfonso_XIII":               -9.127285,
+		"Alfred":                     -9.127285,
+		"Alice":                      -9.127285,
+		"Alice/Windsor/":             -9.127285,
+		"Aloys":                      -9.127285,
+		"Alphonso":                   -8.434138,
+		"Altenburg":                  -9.127285,
+		"Althorp":                    -9.127285,
+		"Amalia":                     -8.434138,
+		"Amalie":                     -8.434138,
+		"Amalienborg":                -8.028673,
+		"Amelia":                     -8.434138,
+		"Anastasia":                  -9.127285,
+		"Andrew":                     -9.127285,
+		"Andrew/Phillips/":           -9.127285,
+		"Angela":                     -9.127285,
+		"Angus":                      -9.127285,
+		"Anna":                       -7.181375,
+		"Anne":                       -6.930060,
+		"Anthony":                    -8.028673,
+		"Appleton":                   -9.127285,
+		"Appony":                     -8.434138,
+		"Apponyi_de":                 -8.434138,
+		"Argyll":                     -9.127285,
+		"Arlington":                  -9.127285,
+		"Arolsen":                    -9.127285,
+		"Arthur":                     -9.127285,
+		"Arthur/Windsor/":            -9.127285,
+		"As":                         -9.127285,
+		"Aspasia":                    -9.127285,
+		"Astrid":                     -9.127285,
+		"Athens":                     -6.642378,
+		"Augusta":                    -6.930060,
+		"Auguste":                    -8.434138,
+		"Augustus":                   -7.335525,
+		"Augustus/Cavendish":         -9.127285,
+		"Augustus_I":                 -9.127285,
+		"Aymer":                      -9.127285,
+		"BBS":                        -9.127285,
+		"BIRT":                       -3.331227,
+		"BURI":                       -5.600924,
+		"Bagration":                  -9.127285,
+		"Barbara":                    -9.127285,
+		"Baron":                      -7.517847,
+		"Baudouin_I":                 -9.127285,
+		"Bavaria":                    -8.434138,
+		"Beatrice":                   -9.127285,
+		"Beatrix":                    -9.127285,
+		"Beatrix/Hamilton/":          -9.127285,
+		"Belgian":                    -9.127285,
+		"Belgium":                    -8.028673,
+		"Belgrade":                   -9.127285,
+		"Bentin/":                    -8.434138,
+		"Bentwi/":                    -9.127285,
+		"Berkhamsted":                -9.127285,
+		"Berkshire":                  -7.047843,
+		"Berlin":                     -7.181375,
+		"Bernard":                    -9.127285,
+		"Bernhard":                   -9.127285,
+		"Bernstorff":                 -9.127285,
+		"Berthold":                   -9.127285,
+		"Bertil":                     -9.127285,
+		"Biebrich":                   -9.127285,
+		"Biesterfeld":                -9.127285,
+		"Blankenburg":                -9.127285,
+		"Boothby":                    -9.127285,
+		"Borga":                      -9.127285,
+		"Boy_":                       -8.434138,
+		"Brabourne":                  -9.127285,
+		"Brigid":                     -9.127285,
+		"Broadview":                  -9.127285,
+		"Brownell":                   -9.127285,
+		"Brunn":                      -9.127285,
+		"Brussels":                   -8.028673,
+		"Bruton":                     -9.127285,
+		"Buckingham":                 -6.488227,
+		"Bushy":                      -7.740990,
+		"CHAR":                       -9.127285,
+		"CHR":                        -7.335525,
+		"CHRISTMAS":                  -9.127285,
+		"COMM":                       -9.127285,
+		"CONT":                       -6.082762,
+		"Cannes":                     -9.127285,
+		"Captain":                    -9.127285,
+		"Carl":                       -9.127285,
+		"Carl_XVI":                   -9.127285,
+		"Carlos":                     -9.127285,
+		"Carlton":                    -8.434138,
+		"Carol_II":                   -9.127285,
+		"Caroline":                   -6.729390,
+		"Caroline/Bingham/":          -9.127285,
+		"Cassel":                     -8.028673,
+		"Castle":                     -6.930060,
+		"Cathedral":                  -9.127285,
+		"Catherine":                  -9.127285,
+		"Cavendish":                  -9.127285,
+		"Cecilia":                    -8.434138,
+		"Cecilie":                    -8.028673,
+		"Celle":                      -8.028673,
+		"Ch.":                        -8.434138,
+		"Chap.":                      -8.028673,
+		"Chapel":                     -8.434138,
+		"Charlemagne":                -9.127285,
+		"Charlemange":                -9.127285,
+		"Charles":                    -6.082762,
+		"Charles/Armstrong":          -9.127285,
+		"Charlotte":                  -6.930060,
+		"Charlotte/Bulteel/":         -9.127285,
+		"Charlottenburg":             -9.127285,
+		"Charlottenlund":             -9.127285,
+		"Chateau":                    -9.127285,
+		"Child_":                     -7.740990,
+		"Christian":                  -9.127285,
+		"Christian/Windsor/":         -9.127285,
+		"Christian_IX":               -9.127285,
+		"Christian_X":                -9.127285,
+		"Christiansborg":             -8.434138,
+		"Christine":                  -8.028673,
+		"Christopher":                -8.434138,
+		"Church":                     -9.127285,
+		"Clarence":                   -8.434138,
+		"Cliff":                      -9.127285,
+		"Clyde":                      -9.127285,
+		"Coburg":                     -9.127285,
+		"Constantine":                -9.127285,
+		"Constantine_I":              -9.127285,
+		"Constantine_II":             -9.127285,
+		"Constantinovna":             -9.127285,
+		"Copenhagen":                 -6.729390,
+		"Corfu":                      -9.127285,
+		"Cottage":                    -7.517847,
+		"Count":                      -8.434138,
+		"Countess":                   -8.434138,
+		"Crimea":                     -9.127285,
+		"Cristina":                   -9.127285,
+		"Crown":                      -9.127285,
+		"Cynthia":                    -9.127285,
+		"Cyrilovitch":                -9.127285,
+		"Cyula":                      -9.127285,
+		"DATE":                       -2.699180,
+		"DEAT":                       -3.496073,
+		"DEC":                        -6.182846,
+		"DEST":                       -9.127285,
+		"Dagmar":                     -9.127285,
+		"Darmstadt":                  -7.740990,
+		"Date":                       -9.127285,
+		"Dau._":                      -7.047843,
+		"Daughter":                   -8.434138,
+		"David":                      -7.740990,
+		"Dec":                        -9.127285,
+		"Denis":                      -7.740990,
+		"Denmark":                    -6.419235,
+		"Dessau":                     -8.028673,
+		"Dietz":                      -9.127285,
+		"Dolzig":                     -9.127285,
+		"Dom":                        -9.127285,
+		"Don":                        -8.434138,
+		"Dona_Maria":                 -9.127285,
+		"Donatus":                    -9.127285,
+		"Doorn":                      -9.127285,
+		"Dorothea":                   -8.028673,
+		"Drottningholm":              -8.434138,
+		"Duchess":                    -8.028673,
+		"Duke":                       -6.419235,
+		"Durlach":                    -9.127285,
+		"Earl":                       -7.335525,
+		"Earl_of_Harewood":           -9.127285,
+		"Edmund":                     -8.434138,
+		"Edward":                     -7.047843,
+		"Edward/Hamilton/":           -9.127285,
+		"Edward_VIII":                -9.127285,
+		"Edwina":                     -9.127285,
+		"Edwyn":                      -9.127285,
+		"Eight_children":             -9.127285,
+		"Eisenach":                   -9.127285,
+		"Eitel":                      -9.127285,
+		"Ekaterinburg":               -7.181375,
+		"Eleanor/Hanover/":           -9.127285,
+		"Eleonore":                   -9.127285,
+		"Elinor":                     -9.127285,
+		"Elisabeth":                  -8.434138,
+		"Elizabeth":                  -6.642378,
+		"Elizabeth/Armstrong":        -9.127285,
+		"Elizabeth/Seymour/":         -9.127285,
+		"Elizabeth_II":               -9.127285,
+		"Ellen":                      -8.028673,
+		"Email":                      -9.127285,
+		"Emil":                       -9.127285,
+		"Emily":                      -9.127285,
+		"Emma":                       -9.127285,
+		"England":                    -4.864605,
+		"Erik":                       -9.127285,
+		"Ernest":                     -8.434138,
+		"Ernst":                      -8.434138,
+		"Este":                       -9.127285,
+		"Estoril":                    -9.127285,
+		"F":                          -4.331494,
+		"FAMC":                       -3.298339,
+		"FAMS":                       -3.108692,
+		"FEB":                        -6.488227,
+		"FILE":                       -9.127285,
+		"Farm":                       -9.127285,
+		"Fedorovna":                  -9.127285,
+		"Ferdinand":                  -7.517847,
+		"Fermoy":                     -8.028673,
+		"Finland":                    -8.434138,
+		"First":                      -9.127285,
+		"Five_children":              -8.434138,
+		"Fontainebleau":              -9.127285,
+		"Fortress":                   -9.127285,
+		"Four_Children":              -9.127285,
+		"France":                     -7.335525,
+		"Frances":                    -8.434138,
+		"Francesco_I":                -9.127285,
+		"Francis":                    -9.127285,
+		"Francis/Windsor/":           -9.127285,
+		"Frank":                      -9.127285,
+		"Frankfurt":                  -8.434138,
+		"Franz":                      -9.127285,
+		"Franz_Joseph_II":            -9.127285,
+		"Frederica":                  -7.517847,
+		"Frederick":                  -6.294071,
+		"Frederick/Cavendish":        -9.127285,
+		"Frederick/Windsor/":         -9.127285,
+		"Frederick_IX":               -9.127285,
+		"Frederick_V":                -9.127285,
+		"Frederick_VI":               -9.127285,
+		"Frederick_VIII":             -9.127285,
+		"Frederik":                   -9.127285,
+		"Fredrik":                    -9.127285,
+		"French":                     -8.028673,
+		"Fri":                        -9.127285,
+		"Friedrich":                  -8.028673,
+		"Frogmore":                   -9.127285,
+		"From":                       -9.127285,
+		"Furstenhof":                 -9.127285,
+		"GEDCOM":                     -9.127285,
+		"Gabriele":                   -9.127285,
+		"Gaston":                     -9.127285,
+		"Geddes/":                    -9.127285,
+		"Georg_II":                   -9.127285,
+		"George":                     -6.642378,
+		"George_I":                   -8.434138,
+		"George_II":                  -8.434138,
+		"George_VI":                  -9.127285,
+		"Georgiana":                  -9.127285,
+		"Geraldine":                  -9.127285,
+		"Germain":                    -9.127285,
+		"Germany":                    -6.294071,
+		"Glamis":                     -9.127285,
+		"Gloucester":                 -8.434138,
+		"Gotha":                      -8.434138,
+		"Gottfried":                  -9.127285,
+		"Gottorp":                    -9.127285,
+		"Grand":                      -7.740990,
+		"Greece":                     -6.182846,
+		"Greiz":                      -9.127285,
+		"Guillaume_IV":               -9.127285,
+		"Gustaf":                     -9.127285,
+		"Gustav":                     -8.028673,
+		"Gustav_V":                   -9.127285,
+		"HEAD":                       -9.127285,
+		"Haakon":                     -9.127285,
+		"Haga":                       -9.127285,
+		"Hague":                      -6.930060,
+		"Hamburg":                    -9.127285,
+		"Hanau":                      -9.127285,
+		"Hannover":                   -9.127285,
+		"Hanover":                    -7.517847,
+		"Harald":                     -9.127285,
+		"Harewood":                   -9.127285,
+		"Harz":                       -9.127285,
+		"Haus":                       -9.127285,
+		"Heights":                    -9.127285,
+		"Heiligenberg":               -9.127285,
+		"Heinrich":                   -9.127285,
+		"Helen":                      -8.434138,
+		"Henrietta":                  -9.127285,
+		"Henriette":                  -8.028673,
+		"Henry":                      -7.047843,
+		"Hermann":                    -9.127285,
+		"Hermine":                    -9.127285,
+		"Herrenhausen":               -7.181375,
+		"Het":                        -8.434138,
+		"Himiltude":                  -9.127285,
+		"Hohensolms":                 -9.127285,
+		"Holstein":                   -8.434138,
+		"Homburg":                    -9.127285,
+		"Hon.":                       -7.517847,
+		"Honoria":                    -9.127285,
+		"Horatia":                    -9.127285,
+		"Hosp.":                      -8.434138,
+		"House":                      -5.759989,
+		"Howe/":                      -9.127285,
+		"Hubertus":                   -9.127285,
+		"Hungary":                    -9.127285,
+		"I":                          -8.028673,
+		"INDI":                       -3.002601,
+		"If":                         -9.127285,
+		"Ildefonso":                  -9.127285,
+		"In":                         -9.127285,
+		"Ina":                        -9.127285,
+		"Ingeborg":                   -9.127285,
+		"Internet":                   -9.127285,
+		"Irene":                      -9.127285,
+		"Iris":                       -9.127285,
+		"Isabella":                   -9.127285,
+		"Isle":                       -8.028673,
+		"Italy":                      -7.740990,
+		"JAN":                        -6.131553,
+		"JUL":                        -6.182846,
+		"JUN":                        -6.294071,
+		"James":                      -6.236913,
+		"Jamie":                      -9.127285,
+		"Jane":                       -8.434138,
+		"Jean":                       -9.127285,
+		"Jena":                       -9.127285,
+		"Joachim":                    -9.127285,
+		"Joanna":                     -9.127285,
+		"Johan":                      -9.127285,
+		"Johann":                     -8.434138,
+		"Johanna":                    -9.127285,
+		"John":                       -7.047843,
+		"John/Spencer/":              -9.127285,
+		"Jones/":                     -8.028673,
+		"Joseph":                     -7.740990,
+		"Josephe":                    -9.127285,
+		"Juan":                       -8.434138,
+		"Julia":                      -9.127285,
+		"Juliana":                    -9.127285,
+		"Karl":                       -7.181375,
+		"Karl_I":                     -9.127285,
+		"Karlsruhe":                  -8.434138,
+		"Kasimir":                    -9.127285,
+		"Kensington":                 -7.335525,
+		"Kent":                       -9.127285,
+		"Kerkira":                    -9.127285,
+		"Kew":                        -8.434138,
+		"Kimrose":                    -9.127285,
+		"King":                       -6.419235,
+		"Kings":                      -9.127285,
+		"Kira":                       -9.127285,
+		"Knatchbull":                 -9.127285,
+		"Kussnacht":                  -9.127285,
+		"Lady":                       -6.562335,
+		"Laeken":                     -8.434138,
+		"Lagenburg":                  -9.127285,
+		"Lajos":                      -9.127285,
+		"Lane":                       -9.127285,
+		"Lausanne":                   -9.127285,
+		"Laye":                       -9.127285,
+		"Leicester":                  -6.824700,
+		"Leineschloss":               -9.127285,
+		"Leka_I":                     -9.127285,
+		"Lennox/":                    -9.127285,
+		"Leonide":                    -9.127285,
+		"Leopold_I":                  -9.127285,
+		"Leopold_II":                 -9.127285,
+		"Leopold_III":                -9.127285,
+		"Lich":                       -9.127285,
+		"Linley":                     -9.127285,
+		"Lippe":                      -9.127285,
+		"Livadia":                    -9.127285,
+		"Lodge":                      -8.028673,
+		"Loen":                       -9.127285,
+		"London":                     -5.759989,
+		"Loo":                        -8.434138,
+		"Lord":                       -8.434138,
+		"Louis":                      -7.740990,
+		"Louis_II":                   -8.434138,
+		"Louis_III":                  -9.127285,
+		"Louis_XIII":                 -9.127285,
+		"Louisa":                     -7.517847,
+		"Louisa/Hanover/":            -9.127285,
+		"Louise":                     -6.729390,
+		"Lucan":                      -9.127285,
+		"Ludwig":                     -8.028673,
+		"Ludwig_III":                 -9.127285,
+		"Ludwigsburg":                -9.127285,
+		"Lyon/":                      -9.127285,
+		"M":                          -3.885538,
+		"M.":                         -9.127285,
+		"MAR":                        -5.869188,
+		"MAY":                        -6.131553,
+		"MERRY":                      -9.127285,
+		"Madrid":                     -8.028673,
+		"Magdalene":                  -9.127285,
+		"Main":                       -9.127285,
+		"Manis":                      -9.127285,
+		"Mannheim":                   -9.127285,
+		"Mar":                        -9.127285,
+		"Margaret":                   -7.740990,
+		"Margarite":                  -9.127285,
+		"Marguerite":                 -9.127285,
+		"Marguerite/Bowes":           -9.127285,
+		"Maria":                      -6.824700,
+		"Marie":                      -6.930060,
+		"Mark":                       -8.434138,
+		"Marmorpalais":               -9.127285,
+		"Marquess":                   -9.127285,
+		"Martha":                     -8.434138,
+		"Mary":                       -6.824700,
+		"Mary/Windsor/":              -9.127285,
+		"Mathilde":                   -9.127285,
+		"Matilda":                    -9.127285,
+		"Matilda/Hanover/":           -9.127285,
+		"Maurice":                    -8.434138,
+		"Maximilian_I":               -9.127285,
+		"Meiningen":                  -8.028673,
+		"Mergrethe_II":               -9.127285,
+		"Michael":                    -9.127285,
+		"Middlesex":                  -9.127285,
+		"Mignon":                     -9.127285,
+		"Mildred":                    -9.127285,
+		"Mon":                        -9.127285,
+		"Monaco":                     -9.127285,
+		"Monbijou":                   -9.127285,
+		"Morven":                     -9.127285,
+		"Moukhransky":                -9.127285,
+		"Moved":                      -9.127285,
+		"Munich":                     -7.740990,
+		"Music":                      -8.434138,
+		"NAME":                       -3.000416,
+		"NOV":                        -5.726087,
+		"Nadejda":                    -9.127285,
+		"Nagy":                       -8.434138,
+		"Near":                       -6.488227,
+		"Netherlands":                -7.335525,
+		"Nicholas":                   -8.434138,
+		"Nicholas_I":                 -9.127285,
+		"Nicholas_II":                -9.127285,
+		"Nicholoevich":               -9.127285,
+		"Nicholovna":                 -7.740990,
+		"Nicolaievich":               -9.127285,
+		"Nikolaievitch":              -9.127285,
+		"Norfolk":                    -6.824700,
+		"Norway":                     -8.434138,
+		"Nr":                         -8.434138,
+		"Nymphenburg":                -9.127285,
+		"OCT":                        -6.036242,
+		"Oatlands":                   -9.127285,
+		"Octavius":                   -9.127285,
+		"Oder":                       -9.127285,
+		"Ogston":                     -9.127285,
+		"Ohio":                       -9.127285,
+		"Olav_V":                     -9.127285,
+		"Olga":                       -8.028673,
+		"Oraniensaal":                -9.127285,
+		"Oscar":                      -8.028673,
+		"Oscar_II":                   -9.127285,
+		"Oslo":                       -9.127285,
+		"Osnabruck":                  -8.434138,
+		"Oswald":                     -9.127285,
+		"Otto":                       -8.434138,
+		"P":                          -8.434138,
+		"PAF":                        -8.434138,
+		"PHON":                       -9.127285,
+		"PLA":                        -9.127285,
+		"PLAC":                       -3.536298,
+		"Paddington":                 -8.434138,
+		"Palac":                      -8.028673,
+		"Palace":                     -5.991791,
+		"Palermo":                    -9.127285,
+		"Pamela":                     -9.127285,
+		"Paris":                      -8.434138,
+		"Park":                       -7.181375,
+		"Parma":                      -9.127285,
+		"Patricia":                   -9.127285,
+		"Paul":                       -9.127285,
+		"Paul_I":                     -9.127285,
+		"Pavlovna":                   -9.127285,
+		"Pelesch":                    -8.028673,
+		"Pembroke":                   -9.127285,
+		"Peter":                      -9.127285,
+		"Peter/Phillips/":            -9.127285,
+		"Peter_II":                   -9.127285,
+		"Peterhof":                   -9.127285,
+		"Petersburg":                 -8.028673,
+		"Philip":                     -8.028673,
+		"Piccadilly":                 -9.127285,
+		"Pierre":                     -9.127285,
+		"Place":                      -9.127285,
+		"Portugal":                   -8.028673,
+		"Potsdam":                    -8.434138,
+		"Prenzlau":                   -9.127285,
+		"Prince":                     -6.930060,
+		"Princess":                   -6.642378,
+		"Psychiko":                   -9.127285,
+		"Pushkin":                    -9.127285,
+		"Queen":                      -8.434138,
+		"R.":                         -9.127285,
+		"REFN":                       -7.335525,
+		"ROYALS":                     -9.127285,
+		"ROYALS.GED":                 -9.127285,
+		"Raine":                      -9.127285,
+		"Rainier_III":                -9.127285,
+		"Reid":                       -8.028673,
+		"Repos":                      -9.127285,
+		"Revelstoke":                 -9.127285,
+		"Reverend":                   -8.434138,
+		"Richard/Windsor/":           -9.127285,
+		"Richmond":                   -9.127285,
+		"Robert":                     -9.127285,
+		"Robert/Armstrong":           -9.127285,
+		"Romania":                    -7.740990,
+		"Rome":                       -9.127285,
+		"Room":                       -8.434138,
+		"Rosalie":                    -9.127285,
+		"Rosalind":                   -9.127285,
+		"Rose":                       -9.127285,
+		"Roskilde":                   -7.740990,
+		"Royal":                      -7.335525,
+		"Royals":                     -9.127285,
+		"Royalty.":                   -9.127285,
+		"Rupprecht":                  -9.127285,
+		"Russia":                     -6.294071,
+		"Ruth":                       -8.434138,
+		"Rutland":                    -9.127285,
+		"SEP":                        -6.082762,
+		"SEX":                        -3.390712,
+		"SOUR":                       -9.127285,
+		"SUBM":                       -9.127285,
+		"Salonika":                   -9.127285,
+		"San":                        -9.127285,
+		"Sandringham":                -7.047843,
+		"Sarah":                      -8.028673,
+		"Sarvar":                     -9.127285,
+		"Schloss":                    -8.028673,
+		"Schonb":                     -9.127285,
+		"Schwerin":                   -7.740990,
+		"Scotland":                   -8.434138,
+		"Selo":                       -8.434138,
+		"Sibylla":                    -9.127285,
+		"Sigvard":                    -9.127285,
+		"Sinaia":                     -8.434138,
+		"Skaugum":                    -9.127285,
+		"Smith":                      -8.434138,
+		"Snowdon":                    -9.127285,
+		"Soetdijk":                   -9.127285,
+		"Sol":                        -9.127285,
+		"Son":                        -8.434138,
+		"Son_":                       -8.028673,
+		"Sonja":                      -9.127285,
+		"Sophia":                     -6.930060,
+		"Sophie":                     -8.028673,
+		"Sorgenfri":                  -9.127285,
+		"Spain":                      -7.740990,
+		"Spanish":                    -8.434138,
+		"Spencer":                    -7.740990,
+		"Square":                     -9.127285,
+		"St.":                        -5.949231,
+		"Stanmore":                   -9.127285,
+		"Stettin":                    -9.127285,
+		"Stillborn":                  -8.434138,
+		"Stockholm":                  -6.824700,
+		"Strelitz":                   -8.434138,
+		"Stuyvenberg":                -9.127285,
+		"Subject":                    -9.127285,
+		"Suite":                      -9.127285,
+		"Sumner":                     -9.127285,
+		"Surrey":                     -8.434138,
+		"Sussex":                     -9.127285,
+		"Sweden":                     -6.824700,
+		"Switzerland":                -8.434138,
+		"Sylvia":                     -9.127285,
+		"THE":                        -9.127285,
+		"TITL":                       -4.502312,
+		"Tatiana":                    -8.434138,
+		"Tatoi":                      -6.824700,
+		"The":                        -6.824700,
+		"Theatinerkirche":            -8.434138,
+		"Theresa":                    -8.434138,
+		"Thoss":                      -8.434138,
+		"Throne":                     -9.127285,
+		"Tiflis":                     -9.127285,
+		"Tilburg":                    -9.127285,
+		"Tsar":                       -7.740990,
+		"Tsarevich":                  -9.127285,
+		"Tsarskoe":                   -9.127285,
+		"Tsarskoye":                  -9.127285,
+		"Twin":                       -8.434138,
+		"Two_Children":               -9.127285,
+		"Unknown":                    -6.236913,
+		"VIII/Spencer/":              -9.127285,
+		"Venice":                     -9.127285,
+		"Vicarage":                   -9.127285,
+		"Vicount":                    -8.434138,
+		"Victoria":                   -7.740990,
+		"Villa":                      -9.127285,
+		"Viscount":                   -9.127285,
+		"Vladimir":                   -9.127285,
+		"W":                          -9.127285,
+		"Wales":                      -9.127285,
+		"Weilb":                      -8.434138,
+		"Weimar":                     -8.434138,
+		"West":                       -9.127285,
+		"Westminster":                -8.434138,
+		"Weybridge":                  -9.127285,
+		"Whippingham":                -8.434138,
+		"White":                      -9.127285,
+		"Whitehall":                  -9.127285,
+		"Wight":                      -8.434138,
+		"Wildenwart":                 -9.127285,
+		"Wilhelm":                    -8.434138,
+		"Wilhelmina":                 -7.740990,
+		"Wilhelmine":                 -9.127285,
+		"William":                    -6.236913,
+		"William_I":                  -8.434138,
+		"William_II":                 -8.028673,
+		"William_III":                -9.127285,
+		"William_IV":                 -8.028673,
+		"William_V":                  -8.434138,
+		"Windsor":                    -6.419235,
+		"Wolfenbuttel":               -9.127285,
+		"Wolferton":                  -9.127285,
+		"Wood":                       -9.127285,
+		"Yalta":                      -9.127285,
+		"York":                       -7.047843,
+		"Yorkshire":                  -9.127285,
+		"You":                        -9.127285,
+		"Zog_I":                      -9.127285,
+		"a":                          -8.028673,
+		"additions":                  -9.127285,
+		"address":                    -9.127285,
+		"ah":                         -8.434138,
+		"all":                        -8.434138,
+		"am":                         -9.127285,
+		"an":                         -9.127285,
+		"and":                        -7.517847,
+		"and_the_Rhine":              -8.434138,
+		"appreciate":                 -9.127285,
+		"around":                     -9.127285,
+		"available":                  -8.434138,
+		"be":                         -9.127285,
+		"cases.":                     -9.127285,
+		"claim":                      -9.127285,
+		"claimed":                    -9.127285,
+		"cmanis@csoftec.csf.com":     -9.127285,
+		"corrections":                -9.127285,
+		"d":                          -9.127285,
+		"de":                         -9.127285,
+		"de_Courtenay":               -9.127285,
+		"de_Saxe":                    -9.127285,
+		"de_las_Mercedes":            -9.127285,
+		"der":                        -9.127285,
+		"descendants":                -9.127285,
+		"descended":                  -9.127285,
+		"do":                         -9.127285,
+		"en":                         -9.127285,
+		"even":                       -9.127285,
+		"finding":                    -9.127285,
+		"following":                  -9.127285,
+		"for":                        -9.127285,
+		"found":                      -9.127285,
+		"from":                       -8.434138,
+		"good":                       -9.127285,
+		"had":                        -8.434138,
+		"hardest":                    -9.127285,
+		"have":                       -8.434138,
+		"himself":                    -9.127285,
+		"if":                         -9.127285,
+		"in":                         -7.740990,
+		"is":                         -8.434138,
+		"it":                         -8.028673,
+		"itself":                     -9.127285,
+		"know":                       -9.127285,
+		"lines.":                     -9.127285,
+		"make":                       -9.127285,
+		"many":                       -9.127285,
+		"may":                        -9.127285,
+		"message":                    -9.127285,
+		"mistresses":                 -9.127285,
+		"multiple":                   -9.127285,
+		"noble":                      -9.127285,
+		"of":                         -5.320622,
+		"of_Albania":                 -8.434138,
+		"of_Angouleme":               -9.127285,
+		"of_Anhalt":                  -7.517847,
+		"of_Ansbach":                 -9.127285,
+		"of_Asturias":                -9.127285,
+		"of_Austria":                 -6.930060,
+		"of_Baden":                   -6.930060,
+		"of_Battenberg":              -9.127285,
+		"of_Bavaria":                 -8.434138,
+		"of_Bourbon":                 -8.028673,
+		"of_Brunswick":               -8.434138,
+		"of_Brunswick/Hanover/":      -9.127285,
+		"of_Bulgaria":                -9.127285,
+		"of_Cavadonga":               -9.127285,
+		"of_Celle":                   -9.127285,
+		"of_Cumberland/Hanover/":     -8.434138,
+		"of_Dartmouth":               -9.127285,
+		"of_Denmark":                 -7.740990,
+		"of_Erbach":                  -9.127285,
+		"of_France":                  -9.127285,
+		"of_Germany":                 -9.127285,
+		"of_Gloucester/Hanover/":     -9.127285,
+		"of_Greece":                  -7.517847,
+		"of_Hamilton":                -9.127285,
+		"of_Hanover":                 -8.434138,
+		"of_Hesse":                   -6.354696,
+		"of_Hohenau":                 -9.127285,
+		"of_Hohenlohe":               -9.127285,
+		"of_Liechtenstein":           -8.434138,
+		"of_Lippe":                   -9.127285,
+		"of_Luxembourg":              -7.740990,
+		"of_Mecklenburg":             -7.517847,
+		"of_Milford_Haven":           -9.127285,
+		"of_Monaco":                  -8.028673,
+		"of_Montenegro":              -9.127285,
+		"of_Nassau":                  -7.740990,
+		"of_Netherlands":             -7.047843,
+		"of_Norway":                  -9.127285,
+		"of_Orange":                  -8.028673,
+		"of_Orange/Friso/":           -9.127285,
+		"of_Prussia":                 -7.181375,
+		"of_Reuss":                   -9.127285,
+		"of_Romania":                 -9.127285,
+		"of_Russia":                  -8.434138,
+		"of_Saxe":                    -7.047843,
+		"of_Schaumburg":              -9.127285,
+		"of_Schleswig":               -7.740990,
+		"of_Solms":                   -9.127285,
+		"of_Spain":                   -9.127285,
+		"of_Sweden":                  -7.740990,
+		"of_Tuscany":                 -9.127285,
+		"of_Vastmanland":             -9.127285,
+		"of_Wurttemberg":             -8.434138,
+		"of_Yugoslavia":              -8.434138,
+		"of_Zweibrucken":             -9.127285,
+		"of_the_Belgians":            -9.127285,
+		"of_the_Hellenes/Oldenburg/": -9.127285,
+		"or":                         -9.127285,
+		"over":                       -9.127285,
+		"part":                       -9.127285,
+		"planet...":                  -9.127285,
+		"post":                       -9.127285,
+		"process":                    -9.127285,
+		"published":                  -9.127285,
+		"received":                   -9.127285,
+		"s":                          -8.028673,
+		"send":                       -9.127285,
+		"some":                       -8.434138,
+		"sources":                    -9.127285,
+		"suggestions":                -9.127285,
+		"that":                       -9.127285,
+		"the":                        -7.047843,
+		"this":                       -8.434138,
+		"time":                       -9.127285,
+		"titles":                     -9.127285,
+		"to":                         -7.517847,
+		"twin":                       -9.127285,
+		"von":                        -9.127285,
+		"von_Seherr":                 -8.434138,
+		"weakest":                    -9.127285,
+		"who":                        -9.127285,
+		"whomever.":                  -9.127285,
+		"whose":                      -9.127285,
+		"work":                       -9.127285,
+		"would":                      -9.127285,
+		"wrote":                      -9.127285,
+		"y":                          -9.127285,
+		"you":                        -8.028673,
+	},
 	"GLSL": map[string]float64{
 		"#define":                        -5.662768,
 		"#else":                          -6.943701,
@@ -41818,22 +44401,140 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                             -3.672211,
 	},
 	"Genie": map[string]float64{
-		"(":         -1.791759,
-		")":         -1.791759,
-		".run":      -3.583519,
-		":":         -2.890372,
-		"=":         -2.484907,
-		"Demo":      -2.890372,
-		"_message":  -2.484907,
-		"class":     -3.583519,
-		"construct": -3.583519,
-		"def":       -3.583519,
-		"init":      -2.890372,
-		"message":   -2.890372,
-		"new":       -3.583519,
-		"print":     -2.890372,
-		"run":       -3.583519,
-		"string":    -2.890372,
+		"(":                              -1.820090,
+		")":                              -1.820090,
+		",":                              -3.577948,
+		".add_class":                     -5.880533,
+		".run":                           -5.880533,
+		"//URL":                          -5.880533,
+		"//Use":                          -5.880533,
+		"//this.webview.execute_script":  -5.880533,
+		":":                              -3.047320,
+		"=":                              -3.172483,
+		"Align.END":                      -5.880533,
+		"Align.START":                    -5.880533,
+		"Box":                            -5.880533,
+		"Demo":                           -5.187386,
+		"Fixed":                          -5.880533,
+		"Gee":                            -5.880533,
+		"Gtk":                            -5.880533,
+		"Gtk.init":                       -5.880533,
+		"Gtk.main":                       -5.880533,
+		"Gtk.main_quit":                  -5.880533,
+		"IDataLoader":                    -5.880533,
+		"Object":                         -5.880533,
+		"Orientation.VERTICAL":           -5.880533,
+		"Overlay":                        -5.880533,
+		"ProjectInfoVO":                  -5.880533,
+		"STYLE_CLASS_PRIMARY_TOOLBAR":    -5.880533,
+		"SceneVO":                        -5.880533,
+		"Spinner":                        -5.187386,
+		"ToolButton":                     -5.187386,
+		"Toolbar":                        -5.880533,
+		"ToolbarStyle.BOTH":              -5.880533,
+		"ValaBrowser":                    -5.187386,
+		"WebKit":                         -5.880533,
+		"WebSettings":                    -5.880533,
+		"WebView":                        -5.187386,
+		"Window":                         -5.880533,
+		"WindowPosition.CENTER":          -5.880533,
+		"[":                              -4.781921,
+		"]":                              -4.781921,
+		"_message":                       -4.781921,
+		"abstract":                       -5.187386,
+		"add":                            -5.880533,
+		"arg":                            -5.187386,
+		"array":                          -5.880533,
+		"browser":                        -5.880533,
+		"browser.start":                  -5.880533,
+		"button":                         -5.880533,
+		"class":                          -5.187386,
+		"command":                        -5.880533,
+		"connect_signals":                -5.187386,
+		"construct":                      -5.880533,
+		"create_widgets":                 -5.187386,
+		"d":                              -5.880533,
+		"d.data":                         -5.880533,
+		"d.resources":                    -5.880533,
+		"def":                            -3.683308,
+		"false":                          -5.187386,
+		"fixed":                          -5.187386,
+		"fixed.add":                      -5.880533,
+		"fixed.set_halign":               -5.880533,
+		"fixed.set_valign":               -5.880533,
+		"indent":                         -5.187386,
+		"init":                           -4.781921,
+		"int":                            -5.880533,
+		"interface":                      -5.880533,
+		"javascript":                     -5.880533,
+		"load":                           -5.880533,
+		"loadProjectVO":                  -5.880533,
+		"loadSceneVO":                    -5.880533,
+		"loaded":                         -5.880533,
+		"main":                           -5.880533,
+		"message":                        -5.187386,
+		"namespace":                      -5.880533,
+		"new":                            -3.577948,
+		"next":                           -5.880533,
+		"null":                           -5.880533,
+		"o":                              -5.187386,
+		"of":                             -5.880533,
+		"on":                             -5.880533,
+		"overlay":                        -5.187386,
+		"overlay.add":                    -5.880533,
+		"overlay.add_overlay":            -5.880533,
+		"page":                           -5.880533,
+		"print":                          -5.187386,
+		"ref":                            -5.880533,
+		"return":                         -5.880533,
+		"run":                            -5.187386,
+		"sceneName":                      -5.880533,
+		"sdx":                            -5.880533,
+		"sdx.graphics.s":                 -5.880533,
+		"sdx.math":                       -5.880533,
+		"set_default_size":               -5.880533,
+		"settings":                       -5.187386,
+		"settings.set":                   -5.880533,
+		"show":                           -5.880533,
+		"show_all":                       -5.187386,
+		"some":                           -5.880533,
+		"spinner":                        -5.880533,
+		"start":                          -5.880533,
+		"started":                        -5.880533,
+		"string":                         -4.494239,
+		"the":                            -5.880533,
+		"this.button":                    -5.187386,
+		"this.button.clicked.connect":    -5.880533,
+		"this.destroy.connect":           -5.880533,
+		"this.loaded":                    -5.880533,
+		"this.spinner":                   -5.187386,
+		"this.spinner.hide":              -5.880533,
+		"this.spinner.set_margin_bottom": -5.880533,
+		"this.spinner.set_margin_left":   -5.880533,
+		"this.spinner.set_margin_right":  -5.880533,
+		"this.spinner.set_margin_top":    -5.880533,
+		"this.spinner.start":             -5.880533,
+		"this.start":                     -5.880533,
+		"this.started":                   -5.880533,
+		"this.webview":                   -5.187386,
+		"this.webview.document_load_finished.connect": -5.880533,
+		"this.webview.load_started.connect":           -5.880533,
+		"this.webview.open":                           -5.880533,
+		"to":                                          -5.187386,
+		"toolbar":                                     -5.187386,
+		"toolbar.add":                                 -5.880533,
+		"toolbar.get_style_context":                   -5.880533,
+		"toolbar.set_style":                           -5.880533,
+		"true":                                        -4.781921,
+		"uses":                                        -4.088774,
+		"var":                                         -4.088774,
+		"vbox":                                        -5.187386,
+		"vbox.pack_start":                             -5.187386,
+		"void":                                        -4.271095,
+		"webview":                                     -5.880533,
+		"webview.grab_focus":                          -5.880533,
+		"webview.set_settings":                        -5.880533,
+		"window_position":                             -5.880533,
 	},
 	"Gerber Image": map[string]float64{
 		"!":                 -7.422929,
@@ -41915,6 +44616,109 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"ubuntu":            -8.116076,
 		"~":                 -7.422929,
 	},
+	"Gherkin": map[string]float64{
+		"#":                    -5.361292,
+		":":                    -3.058707,
+		"<action>":             -5.361292,
+		"<newSystemStateName>": -5.361292,
+		"<systemState>":        -5.361292,
+		"Adding":               -5.361292,
+		"As":                   -5.361292,
+		"Document":             -5.361292,
+		"Examples":             -5.361292,
+		"Feature":              -4.668145,
+		"Fix":                  -5.361292,
+		"Given":                -3.974998,
+		"I":                    -3.974998,
+		"In":                   -5.361292,
+		"List":                 -5.361292,
+		"Nameaction":           -5.361292,
+		"Priority":             -4.262680,
+		"Prologue":             -5.361292,
+		"Scenario":             -4.262680,
+		"Sign":                 -5.361292,
+		"Some":                 -5.361292,
+		"TaskName":             -4.262680,
+		"Then":                 -4.668145,
+		"Todo":                 -5.361292,
+		"Watch":                -4.668145,
+		"When":                 -4.668145,
+		"YouTube":              -4.668145,
+		"a":                    -3.751854,
+		"actor":                -5.361292,
+		"add":                  -5.361292,
+		"all":                  -4.668145,
+		"an":                   -4.668145,
+		"as":                   -5.361292,
+		"awesome":              -5.361292,
+		"be":                   -5.361292,
+		"begin":                -5.361292,
+		"beneficial":           -5.361292,
+		"bugs":                 -5.361292,
+		"business":             -5.361292,
+		"cat":                  -4.668145,
+		"code":                 -5.361292,
+		"currentState":         -4.262680,
+		"currently":            -5.361292,
+		"day":                  -4.668145,
+		"do":                   -5.361292,
+		"explicit":             -5.361292,
+		"following":            -4.668145,
+		"follows":              -5.361292,
+		"for":                  -5.361292,
+		"furthers":             -5.361292,
+		"gain":                 -5.361292,
+		"goal":                 -5.361292,
+		"headers":              -5.361292,
+		"high":                 -4.262680,
+		"hours":                -5.361292,
+		"in":                   -4.262680,
+		"is":                   -4.262680,
+		"item":                 -5.361292,
+		"list":                 -4.262680,
+		"looks":                -5.361292,
+		"medium":               -4.668145,
+		"my":                   -3.974998,
+		"named":                -4.668145,
+		"newState":             -5.361292,
+		"newSystemStateName":   -5.361292,
+		"nothing":              -5.361292,
+		"of":                   -5.361292,
+		"on":                   -4.668145,
+		"once":                 -5.361292,
+		"order":                -5.361292,
+		"outcome":              -5.361292,
+		"parametrized":         -5.361292,
+		"played":               -5.361292,
+		"pushButton":           -5.361292,
+		"realize":              -5.361292,
+		"row":                  -5.361292,
+		"scenario":             -4.668145,
+		"see":                  -5.361292,
+		"should":               -5.361292,
+		"some":                 -5.361292,
+		"state":                -4.668145,
+		"step":                 -5.361292,
+		"story":                -5.361292,
+		"system":               -4.262680,
+		"systemState":          -5.361292,
+		"table":                -5.361292,
+		"task":                 -5.361292,
+		"the":                  -3.751854,
+		"this":                 -4.262680,
+		"title":                -4.668145,
+		"to":                   -4.262680,
+		"todo":                 -4.262680,
+		"unemployment":         -5.361292,
+		"up":                   -5.361292,
+		"value":                -5.361292,
+		"videos":               -4.668145,
+		"want":                 -5.361292,
+		"which":                -5.361292,
+		"will":                 -5.361292,
+		"with":                 -5.361292,
+		"|":                    -1.532651,
+	},
 	"Git Attributes": map[string]float64{
 		"*.colorscheme":   -3.465736,
 		"-":               -1.673976,
@@ -45049,100 +47853,121 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                         -5.634790,
 	},
 	"HCL": map[string]float64{
-		",":                           -3.517922,
-		"-":                           -3.448929,
-		"=":                           -1.328665,
-		"Name":                        -5.463832,
-		"[":                           -2.978925,
-		"]":                           -2.978925,
-		"account":                     -6.156979,
-		"account.tfvars":              -6.156979,
-		"action":                      -4.077537,
-		"ami":                         -6.156979,
-		"args":                        -4.211069,
-		"associate_public_ip_address": -6.156979,
-		"backend":                     -6.156979,
-		"bar":                         -6.156979,
-		"bastion_host":                -6.156979,
-		"bastion_port":                -6.156979,
-		"bastion_private_key":         -6.156979,
-		"bastion_user":                -6.156979,
-		"bucket":                      -6.156979,
-		"cidr_blocks":                 -4.547541,
-		"commands":                    -6.156979,
-		"config":                      -6.156979,
-		"connection":                  -6.156979,
-		"consul":                      -6.156979,
-		"cross_zone_load_balancing":   -6.156979,
-		"description":                 -5.058367,
-		"destination":                 -5.058367,
-		"does":                        -5.058367,
-		"dynamodb_table":              -6.156979,
-		"egress":                      -5.058367,
-		"encrypt":                     -6.156979,
-		"env":                         -6.156979,
-		"env.tfvars":                  -6.156979,
-		"exist":                       -5.058367,
-		"extra_arguments":             -6.156979,
-		"false":                       -5.058367,
-		"from_port":                   -4.365220,
-		"health_check":                -6.156979,
-		"healthy_threshold":           -6.156979,
-		"idle_timeout":                -6.156979,
-		"if":                          -5.058367,
-		"ingress":                     -5.058367,
-		"inline":                      -6.156979,
-		"instance_port":               -6.156979,
-		"instance_protocol":           -6.156979,
-		"instance_type":               -6.156979,
-		"instances":                   -6.156979,
-		"interval":                    -6.156979,
-		"key":                         -3.672072,
-		"keyA":                        -5.463832,
-		"keyB":                        -5.463832,
-		"key_name":                    -6.156979,
-		"lb_port":                     -6.156979,
-		"lb_protocol":                 -6.156979,
-		"listener":                    -6.156979,
-		"name":                        -5.058367,
-		"needs":                       -4.547541,
-		"not":                         -5.058367,
-		"on":                          -6.156979,
-		"optional_var_files":          -6.156979,
-		"private_key":                 -6.156979,
-		"protocol":                    -4.365220,
-		"provisioner":                 -4.770685,
-		"records":                     -5.463832,
-		"region":                      -5.463832,
-		"region.tfvars":               -6.156979,
-		"remote_state":                -6.156979,
-		"resolves":                    -6.156979,
-		"resource":                    -4.077537,
-		"secrets":                     -6.156979,
-		"security_groups":             -5.463832,
-		"skip":                        -5.058367,
-		"source":                      -5.058367,
-		"subnet_id":                   -6.156979,
-		"subnets":                     -6.156979,
-		"tags":                        -5.463832,
-		"target":                      -6.156979,
-		"template":                    -6.156979,
-		"terraform":                   -6.156979,
-		"terragrunt":                  -6.156979,
-		"timeout":                     -6.156979,
-		"to_port":                     -4.365220,
-		"true":                        -5.463832,
-		"ttl":                         -5.463832,
-		"type":                        -5.463832,
-		"unhealthy_threshold":         -6.156979,
-		"user":                        -6.156979,
-		"uses":                        -4.077537,
-		"vpc_id":                      -5.058367,
-		"vpc_security_group_ids":      -6.156979,
-		"workflow":                    -6.156979,
-		"zone_id":                     -5.463832,
-		"{":                           -2.443407,
-		"}":                           -2.443407,
+		",":                           -3.603685,
+		"-":                           -3.603685,
+		"=":                           -1.301100,
+		"Name":                        -5.618588,
+		"[":                           -3.053638,
+		"]":                           -3.053638,
+		"account":                     -6.311735,
+		"account.tfvars":              -6.311735,
+		"action":                      -4.232293,
+		"ami":                         -6.311735,
+		"args":                        -4.365825,
+		"associate_public_ip_address": -6.311735,
+		"attempts":                    -6.311735,
+		"auto_revert":                 -6.311735,
+		"backend":                     -6.311735,
+		"bar":                         -6.311735,
+		"bastion_host":                -6.311735,
+		"bastion_port":                -6.311735,
+		"bastion_private_key":         -6.311735,
+		"bastion_user":                -6.311735,
+		"bucket":                      -6.311735,
+		"canary":                      -6.311735,
+		"check":                       -6.311735,
+		"cidr_blocks":                 -4.702297,
+		"commands":                    -6.311735,
+		"config":                      -5.618588,
+		"connection":                  -6.311735,
+		"consul":                      -6.311735,
+		"count":                       -6.311735,
+		"cpu":                         -6.311735,
+		"cross_zone_load_balancing":   -6.311735,
+		"datacenters":                 -6.311735,
+		"db":                          -6.311735,
+		"delay":                       -6.311735,
+		"description":                 -5.213123,
+		"destination":                 -5.213123,
+		"does":                        -5.213123,
+		"driver":                      -6.311735,
+		"dynamodb_table":              -6.311735,
+		"egress":                      -5.213123,
+		"encrypt":                     -6.311735,
+		"env":                         -6.311735,
+		"env.tfvars":                  -6.311735,
+		"exist":                       -5.213123,
+		"extra_arguments":             -6.311735,
+		"false":                       -4.925440,
+		"from_port":                   -4.519975,
+		"health_check":                -6.311735,
+		"healthy_deadline":            -6.311735,
+		"healthy_threshold":           -6.311735,
+		"idle_timeout":                -6.311735,
+		"if":                          -5.213123,
+		"image":                       -6.311735,
+		"ingress":                     -5.213123,
+		"inline":                      -6.311735,
+		"instance_port":               -6.311735,
+		"instance_protocol":           -6.311735,
+		"instance_type":               -6.311735,
+		"instances":                   -6.311735,
+		"interval":                    -5.213123,
+		"key":                         -3.826828,
+		"keyA":                        -5.618588,
+		"keyB":                        -5.618588,
+		"key_name":                    -6.311735,
+		"lb_port":                     -6.311735,
+		"lb_protocol":                 -6.311735,
+		"listener":                    -6.311735,
+		"max_parallel":                -6.311735,
+		"mbits":                       -6.311735,
+		"memory":                      -6.311735,
+		"min_healthy_time":            -6.311735,
+		"mode":                        -6.311735,
+		"name":                        -4.702297,
+		"needs":                       -4.702297,
+		"network":                     -6.311735,
+		"not":                         -5.213123,
+		"on":                          -6.311735,
+		"optional_var_files":          -6.311735,
+		"port":                        -5.618588,
+		"port_map":                    -6.311735,
+		"private_key":                 -6.311735,
+		"protocol":                    -4.519975,
+		"provisioner":                 -4.925440,
+		"records":                     -5.618588,
+		"region":                      -5.618588,
+		"region.tfvars":               -6.311735,
+		"remote_state":                -6.311735,
+		"resolves":                    -6.311735,
+		"resource":                    -4.232293,
+		"secrets":                     -6.311735,
+		"security_groups":             -5.618588,
+		"size":                        -6.311735,
+		"skip":                        -5.213123,
+		"source":                      -5.213123,
+		"subnet_id":                   -6.311735,
+		"subnets":                     -6.311735,
+		"tags":                        -5.213123,
+		"target":                      -6.311735,
+		"template":                    -6.311735,
+		"terraform":                   -6.311735,
+		"terragrunt":                  -6.311735,
+		"timeout":                     -5.618588,
+		"to_port":                     -4.519975,
+		"true":                        -5.618588,
+		"ttl":                         -5.618588,
+		"type":                        -5.213123,
+		"unhealthy_threshold":         -6.311735,
+		"user":                        -6.311735,
+		"uses":                        -4.232293,
+		"vpc_id":                      -5.213123,
+		"vpc_security_group_ids":      -6.311735,
+		"workflow":                    -6.311735,
+		"zone_id":                     -5.618588,
+		"{":                           -2.483093,
+		"}":                           -2.322751,
 	},
 	"HLSL": map[string]float64{
 		"#define":                     -6.781625,
@@ -46028,95 +48853,166 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"•":                    -8.364974,
 	},
 	"HTML+Django": map[string]float64{
-		"!":           -5.351858,
-		"#":           -5.351858,
-		"%":           -3.965564,
-		"(":           -5.351858,
-		")":           -5.351858,
-		"-":           -3.965564,
-		"/h":          -5.351858,
-		":":           -5.351858,
-		"<":           -4.658711,
-		"</body>":     -5.351858,
-		"</div>":      -5.351858,
-		"</head>":     -5.351858,
-		"</html>":     -5.351858,
-		"</li>":       -4.658711,
-		"</table>":    -5.351858,
-		"</td>":       -3.742420,
-		"</th>":       -3.049273,
-		"</tr>":       -4.253246,
-		"</ul>":       -5.351858,
-		"<body>":      -5.351858,
-		"<div>":       -5.351858,
-		"<head>":      -5.351858,
-		"<html>":      -5.351858,
-		"<input>":     -5.351858,
-		"<li>":        -4.658711,
-		"<table>":     -5.351858,
-		"<td>":        -3.742420,
-		"<th>":        -3.049273,
-		"<tr>":        -4.253246,
-		"<ul>":        -5.351858,
-		">":           -4.658711,
-		"Chuck":       -4.658711,
-		"Client":      -5.351858,
-		"File":        -5.351858,
-		"Functions":   -5.351858,
-		"Giraffes.":   -5.351858,
-		"ID":          -5.351858,
-		"IP":          -5.351858,
-		"Its":         -5.351858,
-		"Job":         -5.351858,
-		"Norris":      -4.658711,
-		"Not":         -5.351858,
-		"Username":    -5.351858,
-		"Workers":     -5.351858,
-		"[":           -3.154634,
-		"]":           -3.154634,
-		"a":           -4.658711,
-		"are":         -5.351858,
-		"as":          -5.351858,
-		"chin.":       -5.351858,
-		"class=":      -3.272417,
-		"descendants": -5.351858,
-		"descriptor":  -5.351858,
-		"endif":       -4.658711,
-		"escape":      -4.658711,
-		"failed":      -5.351858,
-		"foo":         -4.658711,
-		"h":           -5.351858,
-		"horse":       -5.351858,
-		"if":          -4.658711,
-		"in":          -4.658711,
-		"item":        -5.351858,
-		"join":        -5.351858,
-		"kicked":      -5.351858,
-		"known":       -5.351858,
-		"name":        -5.351858,
-		"name=":       -5.351858,
-		"once":        -4.658711,
-		"pass":        -5.351858,
-		"password":    -5.351858,
-		"responding":  -5.351858,
-		"safe":        -5.351858,
-		"semi":        -5.351858,
-		"server":      -5.351858,
-		"server_info": -3.965564,
-		"t":           -5.351858,
-		"the":         -5.351858,
-		"today":       -5.351858,
-		"truck":       -5.351858,
-		"type":        -5.351858,
-		"type=":       -5.351858,
-		"urinated":    -5.351858,
-		"user":        -5.351858,
-		"value":       -5.351858,
-		"value=":      -5.351858,
-		"worker":      -3.965564,
-		"{":           -2.019654,
-		"|":           -4.658711,
-		"}":           -2.518645,
+		"!":                -5.991465,
+		"#":                -5.991465,
+		"%":                -4.605170,
+		"(":                -5.991465,
+		")":                -5.991465,
+		",":                -5.991465,
+		"-":                -4.605170,
+		"/h":               -4.892852,
+		"5":                -5.991465,
+		":":                -4.892852,
+		"<":                -4.199705,
+		"</a>":             -5.298317,
+		"</body>":          -5.991465,
+		"</code>":          -5.298317,
+		"</div>":           -4.892852,
+		"</head>":          -5.991465,
+		"</html>":          -5.991465,
+		"</li>":            -4.892852,
+		"</p>":             -4.892852,
+		"</pre>":           -5.298317,
+		"</table>":         -5.298317,
+		"</tbody>":         -5.991465,
+		"</td>":            -3.912023,
+		"</th>":            -3.506558,
+		"</thead>":         -5.991465,
+		"</tr>":            -4.382027,
+		"</ul>":            -5.298317,
+		"<a>":              -5.298317,
+		"<body>":           -5.991465,
+		"<code>":           -5.298317,
+		"<div>":            -4.892852,
+		"<head>":           -5.991465,
+		"<hr>":             -5.991465,
+		"<html>":           -5.991465,
+		"<input>":          -5.991465,
+		"<li>":             -4.892852,
+		"<p>":              -4.892852,
+		"<pre>":            -5.298317,
+		"<table>":          -5.298317,
+		"<tbody>":          -5.991465,
+		"<td>":             -3.912023,
+		"<th>":             -3.506558,
+		"<thead>":          -5.991465,
+		"<tr>":             -4.382027,
+		"<ul>":             -5.298317,
+		">":                -4.199705,
+		"Chuck":            -5.298317,
+		"Client":           -4.892852,
+		"Data":             -5.991465,
+		"File":             -5.991465,
+		"Functions":        -5.991465,
+		"Giraffes.":        -5.991465,
+		"Helper":           -5.991465,
+		"ID":               -5.298317,
+		"IP":               -5.991465,
+		"Its":              -5.991465,
+		"Job":              -5.991465,
+		"List":             -5.991465,
+		"New":              -5.991465,
+		"No":               -5.991465,
+		"None":             -5.991465,
+		"Norris":           -5.298317,
+		"Not":              -5.991465,
+		"OAuth":            -5.298317,
+		"TODO":             -5.991465,
+		"This":             -5.991465,
+		"Username":         -5.991465,
+		"WTF":              -5.991465,
+		"Workers":          -5.991465,
+		"[":                -3.506558,
+		"]":                -3.506558,
+		"a":                -5.298317,
+		"about":            -5.991465,
+		"actually":         -5.991465,
+		"add":              -5.991465,
+		"and":              -5.298317,
+		"are":              -5.991465,
+		"as":               -5.991465,
+		"auto":             -5.991465,
+		"btn":              -5.991465,
+		"chin.":            -5.991465,
+		"class=":           -3.426515,
+		"client_id":        -5.991465,
+		"clients":          -5.991465,
+		"col":              -5.991465,
+		"col_client_index": -5.298317,
+		"configured":       -5.991465,
+		"current":          -5.991465,
+		"data":             -5.298317,
+		"descendants":      -5.991465,
+		"descriptor":       -5.991465,
+		"easier":           -5.991465,
+		"endif":            -5.298317,
+		"escape":           -5.298317,
+		"failed":           -5.991465,
+		"foo":              -5.298317,
+		"getting":          -5.991465,
+		"going":            -5.991465,
+		"h":                -4.892852,
+		"help":             -5.991465,
+		"here":             -5.991465,
+		"here....":         -5.991465,
+		"horse":            -5.991465,
+		"hover":            -5.991465,
+		"href=":            -5.298317,
+		"if":               -5.298317,
+		"in":               -5.298317,
+		"index0":           -5.991465,
+		"is":               -5.298317,
+		"item":             -5.298317,
+		"join":             -5.991465,
+		"key":              -5.991465,
+		"kicked":           -5.991465,
+		"known":            -5.991465,
+		"loop":             -5.991465,
+		"loop.index":       -5.991465,
+		"make":             -5.991465,
+		"more":             -5.991465,
+		"name":             -5.991465,
+		"name=":            -5.991465,
+		"notes":            -5.991465,
+		"of":               -5.991465,
+		"on":               -5.991465,
+		"once":             -5.298317,
+		"outer_loop.index": -5.991465,
+		"pass":             -5.991465,
+		"password":         -5.991465,
+		"primary":          -5.991465,
+		"pt":               -5.991465,
+		"pushing":          -5.991465,
+		"responding":       -5.991465,
+		"role=":            -5.991465,
+		"safe":             -5.991465,
+		"scope=":           -5.298317,
+		"semi":             -5.991465,
+		"server":           -5.991465,
+		"server_info":      -4.605170,
+		"setting":          -5.991465,
+		"small":            -5.991465,
+		"some":             -5.991465,
+		"status":           -5.991465,
+		"summary":          -5.991465,
+		"t":                -5.991465,
+		"table":            -5.991465,
+		"the":              -5.991465,
+		"to":               -5.991465,
+		"today":            -5.991465,
+		"tokens":           -5.991465,
+		"truck":            -5.991465,
+		"type":             -5.991465,
+		"type=":            -5.991465,
+		"urinated":         -5.991465,
+		"user":             -5.991465,
+		"value":            -5.991465,
+		"value=":           -5.991465,
+		"w":                -5.991465,
+		"worker":           -4.605170,
+		"{":                -1.771957,
+		"|":                -5.298317,
+		"}":                -2.772589,
 	},
 	"HTML+ECR": map[string]float64{
 		"!":        -2.197225,
@@ -46134,144 +49030,212 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"name":     -2.890372,
 	},
 	"HTML+EEX": map[string]float64{
-		"%":            -2.397895,
-		"(":            -3.576550,
-		")":            -3.576550,
-		",":            -2.397895,
-		"/h":           -4.962845,
-		":":            -2.477938,
-		"<":            -2.765620,
-		"<%#>":         -4.962845,
-		"<%>":          -4.962845,
-		"<->":          -4.962845,
-		"</table>":     -4.962845,
-		"</td>":        -3.353407,
-		"</th>":        -3.353407,
-		"</tr>":        -4.269697,
-		"<br>":         -4.962845,
-		"<table>":      -4.962845,
-		"<td>":         -3.353407,
-		"<th>":         -3.353407,
-		"<tr>":         -4.269697,
-		"=":            -3.016934,
-		">":            -2.883403,
-		"@conn":        -3.576550,
-		"Books":        -4.962845,
-		"Listing":      -4.962845,
-		"Summary":      -4.962845,
-		"Title":        -4.962845,
-		"[":            -4.962845,
-		"]":            -4.962845,
-		"book":         -3.576550,
-		"book.content": -4.962845,
-		"book.title":   -4.962845,
-		"book_path":    -3.576550,
-		"books":        -4.962845,
-		"comment":      -4.962845,
-		"confirm":      -4.962845,
-		"data":         -4.962845,
-		"delete":       -4.269697,
-		"do":           -4.962845,
-		"edit":         -4.962845,
-		"end":          -4.962845,
-		"for":          -4.962845,
-		"h":            -4.962845,
-		"link":         -3.576550,
-		"method":       -4.962845,
-		"new":          -4.962845,
-		"show":         -4.962845,
-		"to":           -3.576550,
+		"%":                -2.149075,
+		"(":                -4.020877,
+		")":                -4.020877,
+		",":                -2.362649,
+		"/h":               -4.714025,
+		":":                -2.573958,
+		"<":                -2.516800,
+		"<%#>":             -5.407172,
+		"<%>":              -4.714025,
+		"<->":              -4.714025,
+		"</form>":          -5.407172,
+		"</table>":         -5.407172,
+		"</td>":            -3.797734,
+		"</th>":            -3.797734,
+		"</tr>":            -4.714025,
+		"<br>":             -5.407172,
+		"<table>":          -5.407172,
+		"<td>":             -3.797734,
+		"<th>":             -3.797734,
+		"<tr>":             -4.714025,
+		"=":                -2.699122,
+		">":                -2.634583,
+		"@changeset":       -5.407172,
+		"@conn":            -4.020877,
+		"@myself":          -5.407172,
+		"@title":           -5.407172,
+		"Books":            -5.407172,
+		"Listing":          -5.407172,
+		"Summary":          -5.407172,
+		"Title":            -5.407172,
+		"[":                -5.407172,
+		"]":                -5.407172,
+		"book":             -4.020877,
+		"book.content":     -5.407172,
+		"book.title":       -5.407172,
+		"book_path":        -4.020877,
+		"books":            -5.407172,
+		"comment":          -5.407172,
+		"confirm":          -5.407172,
+		"data":             -5.407172,
+		"delete":           -4.714025,
+		"do":               -4.714025,
+		"edit":             -5.407172,
+		"end":              -4.714025,
+		"error":            -4.714025,
+		"f":                -5.407172,
+		"for":              -4.714025,
+		"form_for":         -5.407172,
+		"h":                -4.714025,
+		"id":               -5.407172,
+		"input":            -4.308559,
+		"inputs":           -5.407172,
+		"label":            -4.714025,
+		"link":             -4.020877,
+		"method":           -5.407172,
+		"new":              -5.407172,
+		"phx_change":       -5.407172,
+		"phx_disable_with": -5.407172,
+		"phx_submit":       -5.407172,
+		"phx_target":       -5.407172,
+		"show":             -5.407172,
+		"submit":           -5.407172,
+		"to":               -4.020877,
+		"{":                -5.407172,
+		"}":                -5.407172,
 	},
 	"HTML+ERB": map[string]float64{
-		"$":                              -5.863631,
-		"%":                              -2.685577,
-		"(":                              -3.224574,
-		")":                              -3.224574,
-		"+":                              -4.071872,
-		",":                              -3.224574,
-		".select":                        -5.863631,
-		".to_sym":                        -5.863631,
-		"/h":                             -5.863631,
-		":":                              -2.973259,
-		";":                              -5.863631,
-		"<":                              -3.224574,
-		"<%>":                            -3.378725,
-		"</div>":                         -2.728137,
-		"</fieldset>":                    -5.863631,
-		"</legend>":                      -5.863631,
-		"</script>":                      -5.863631,
-		"<div>":                          -2.728137,
-		"<fieldset>":                     -5.863631,
-		"<legend>":                       -5.863631,
-		"<script>":                       -5.863631,
-		"=":                              -3.091042,
-		">":                              -2.973259,
-		"?":                              -5.170484,
-		"@header":                        -5.863631,
-		"@location_groups":               -5.863631,
-		"@users":                         -5.863631,
-		"Chords":                         -5.863631,
-		"Config":                         -4.477337,
-		"Credits":                        -5.863631,
-		"Email":                          -5.863631,
-		"Keys":                           -5.863631,
-		"Name":                           -5.863631,
-		"No":                             -5.863631,
-		"Prem":                           -5.863631,
-		"Since":                          -5.863631,
-		"Spree":                          -4.477337,
-		"Tunings":                        -5.863631,
-		"Users":                          -5.863631,
-		"[":                              -4.477337,
-		"]":                              -4.477337,
-		"align=":                         -5.863631,
-		"always_fetch_current_inventory": -5.863631,
-		"br":                             -5.170484,
-		"centered":                       -5.863631,
-		"check_box_tag":                  -5.863631,
-		"class":                          -5.170484,
-		"class=":                         -2.685577,
-		"columns":                        -3.298682,
-		"do":                             -5.170484,
-		"each":                           -5.863631,
-		"else":                           -5.863631,
-		"empty":                          -5.863631,
-		"enable_fishbowl":                -5.863631,
-		"end":                            -4.254193,
-		"fishbowl_always_fetch_current_inventory": -5.863631,
-		"fishbowl_location_group":                 -5.170484,
-		"fishbowl_options":                        -5.863631,
-		"fishbowl_settings":                       -5.863631,
-		"h":                                       -5.863631,
-		"header":                                  -5.170484,
-		"hidden_field_tag":                        -5.863631,
-		"id=":                                     -5.863631,
-		"if":                                      -4.765019,
-		"key":                                     -3.917721,
-		"key.to_s":                                -5.863631,
-		"key.to_s.gsub":                           -5.863631,
-		"label_tag":                               -5.170484,
-		"location_group":                          -5.863631,
-		"location_groups":                         -5.863631,
-		"present":                                 -5.863631,
-		"provide":                                 -5.863631,
-		"render":                                  -5.863631,
-		"row":                                     -5.170484,
-		"select":                                  -5.863631,
-		"selected":                                -5.863631,
-		"size":                                    -5.863631,
-		"small":                                   -5.863631,
-		"t":                                       -4.477337,
-		"table":                                   -4.765019,
-		"tag":                                     -5.170484,
-		"text_field_tag":                          -5.863631,
-		"title":                                   -5.863631,
-		"type=":                                   -5.863631,
-		"user_presenter":                          -5.863631,
-		"users":                                   -5.170484,
-		"will_paginate":                           -5.170484,
-		"{":                                       -4.765019,
-		"}":                                       -4.765019,
+		"$":                              -6.472346,
+		"%":                              -2.622199,
+		"'":                              -6.472346,
+		"(":                              -3.639133,
+		")":                              -3.581975,
+		"+":                              -3.764296,
+		",":                              -3.833289,
+		"-":                              -6.472346,
+		".select":                        -6.472346,
+		".to_i":                          -5.779199,
+		".to_s":                          -5.779199,
+		".to_sym":                        -6.472346,
+		"/h":                             -6.472346,
+		"0":                              -4.392905,
+		"1":                              -5.779199,
+		"2":                              -6.472346,
+		"5":                              -6.472346,
+		":":                              -3.527907,
+		";":                              -6.472346,
+		"<":                              -3.214250,
+		"<%>":                            -3.833289,
+		"<%end%>":                        -6.472346,
+		"</div>":                         -3.336852,
+		"</fieldset>":                    -6.472346,
+		"</legend>":                      -6.472346,
+		"</script>":                      -6.472346,
+		"</table>":                       -4.680587,
+		"</td>":                          -3.381304,
+		"</tr>":                          -4.392905,
+		"<div>":                          -3.336852,
+		"<fieldset>":                     -6.472346,
+		"<legend>":                       -6.472346,
+		"<script>":                       -6.472346,
+		"<table>":                        -4.680587,
+		"<td>":                           -3.381304,
+		"<tr>":                           -4.392905,
+		"=":                              -2.834760,
+		">":                              -2.945986,
+		"?":                              -5.779199,
+		"@header":                        -6.472346,
+		"@location_groups":               -6.472346,
+		"@users":                         -6.472346,
+		"Age":                            -6.472346,
+		"Chords":                         -6.472346,
+		"Config":                         -5.086052,
+		"Credits":                        -6.472346,
+		"Diagnosis":                      -6.472346,
+		"Email":                          -6.472346,
+		"F":                              -6.472346,
+		"Keys":                           -6.472346,
+		"M":                              -6.472346,
+		"Male":                           -6.472346,
+		"Name":                           -6.472346,
+		"No":                             -6.472346,
+		"Prem":                           -6.472346,
+		"Since":                          -6.472346,
+		"Spree":                          -5.086052,
+		"Total":                          -6.472346,
+		"Tunings":                        -6.472346,
+		"U":                              -6.472346,
+		"Users":                          -6.472346,
+		"[":                              -4.392905,
+		"]":                              -4.392905,
+		"age":                            -5.779199,
+		"align=":                         -6.472346,
+		"always_fetch_current_inventory": -6.472346,
+		"and":                            -5.779199,
+		"br":                             -5.779199,
+		"centered":                       -6.472346,
+		"check_box_tag":                  -6.472346,
+		"class":                          -5.779199,
+		"class=":                         -3.253470,
+		"columns":                        -3.907397,
+		"count_female_above_fourteen":    -5.086052,
+		"count_female_in_between":        -5.086052,
+		"count_female_under_five":        -5.086052,
+		"count_male_above_fourteen":      -5.086052,
+		"count_male_in_between":          -5.086052,
+		"count_male_under_five":          -5.086052,
+		"counter":                        -5.373734,
+		"diagnoses":                      -6.472346,
+		"diagnosis":                      -5.373734,
+		"diagnosis.name":                 -6.472346,
+		"do":                             -5.373734,
+		"each":                           -5.373734,
+		"else":                           -5.086052,
+		"elsif":                          -5.779199,
+		"empty":                          -6.472346,
+		"enable_fishbowl":                -6.472346,
+		"end":                            -4.169761,
+		"fishbowl_always_fetch_current_inventory": -6.472346,
+		"fishbowl_location_group":                 -5.779199,
+		"fishbowl_options":                        -6.472346,
+		"fishbowl_settings":                       -6.472346,
+		"group":                                   -6.472346,
+		"h":                                       -6.472346,
+		"header":                                  -5.779199,
+		"hidden_field_tag":                        -6.472346,
+		"id=":                                     -3.764296,
+		"if":                                      -4.392905,
+		"key":                                     -4.526436,
+		"key.to_s":                                -6.472346,
+		"key.to_s.gsub":                           -6.472346,
+		"label_tag":                               -5.779199,
+		"lightgrey":                               -6.472346,
+		"location_group":                          -6.472346,
+		"location_groups":                         -6.472346,
+		"partial":                                 -6.472346,
+		"patient":                                 -4.275122,
+		"patient_record":                          -6.472346,
+		"present":                                 -6.472346,
+		"provide":                                 -6.472346,
+		"raise":                                   -6.472346,
+		"render":                                  -5.779199,
+		"return":                                  -6.472346,
+		"row":                                     -5.779199,
+		"select":                                  -6.472346,
+		"selected":                                -6.472346,
+		"sex":                                     -6.472346,
+		"size":                                    -6.472346,
+		"small":                                   -6.472346,
+		"style=":                                  -6.472346,
+		"t":                                       -5.086052,
+		"table":                                   -5.373734,
+		"tag":                                     -5.779199,
+		"text_field_tag":                          -6.472346,
+		"times":                                   -6.472346,
+		"title":                                   -6.472346,
+		"to_i":                                    -5.779199,
+		"to_s":                                    -5.779199,
+		"to_yaml":                                 -6.472346,
+		"type=":                                   -6.472346,
+		"user_presenter":                          -6.472346,
+		"users":                                   -5.779199,
+		"value_coded":                             -5.779199,
+		"white":                                   -6.472346,
+		"will_paginate":                           -5.779199,
+		"{":                                       -5.373734,
+		"}":                                       -5.086052,
 	},
 	"HTML+Razor": map[string]float64{
 		"'":                                     -6.066108,
@@ -49889,185 +52853,366 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"within":        -6.148468,
 	},
 	"Inno Setup": map[string]float64{
-		"%":                       -5.090678,
-		"*.bat":                   -6.476972,
-		"*.bp*":                   -6.476972,
-		"*.c":                     -5.090678,
-		"*.c*":                    -6.476972,
-		"*.cpp":                   -6.476972,
-		"*.css":                   -6.476972,
-		"*.def":                   -5.783825,
-		"*.dll":                   -6.476972,
-		"*.ds*":                   -6.476972,
-		"*.dsp":                   -5.378360,
-		"*.h":                     -5.378360,
-		"*.html":                  -6.476972,
-		"*.lib":                   -6.476972,
-		"*.mak":                   -6.476972,
-		"*.png":                   -6.476972,
-		"*.txt":                   -6.476972,
-		",":                       -5.090678,
-		"-":                       -5.783825,
-		"..":                      -6.476972,
-		"//www.libexpat.org/":     -5.378360,
-		":":                       -1.823012,
-		";":                       -2.172907,
-		"=":                       -3.341478,
-		"API":                     -5.783825,
-		"AllowNoIcons":            -6.476972,
-		"AppCopyright":            -6.476972,
-		"AppId":                   -6.476972,
-		"AppName":                 -6.476972,
-		"AppPublisher":            -6.476972,
-		"AppPublisherURL":         -6.476972,
-		"AppSupportURL":           -6.476972,
-		"AppUpdatesURL":           -6.476972,
-		"AppVerName":              -6.476972,
-		"AppVersion":              -6.476972,
-		"Basic":                   -6.476972,
-		"C":                       -6.476972,
-		"COPYING":                 -6.476972,
-		"COPYING.txt":             -6.476972,
-		"Center":                  -6.476972,
-		"Changes":                 -6.476972,
-		"Changes.txt":             -6.476972,
-		"Clark":                   -6.476972,
-		"Compression":             -6.476972,
-		"Cooper":                  -6.476972,
-		"Copyright":               -6.476972,
-		"DefaultDirName":          -6.476972,
-		"DestDir":                 -2.980465,
-		"DestName":                -5.378360,
-		"Developers":              -6.476972,
-		"DisableProgramGroupPage": -6.476972,
-		"DisableReadyPage":        -6.476972,
-		"DisableStartupPrompt":    -6.476972,
-		"Expat":                   -4.531062,
-		"Files":                   -6.476972,
-		"Flags":                   -2.980465,
-		"For":                     -6.476972,
-		"Inno":                    -5.783825,
-		"It":                      -6.476972,
-		"MANIFEST.txt":            -6.476972,
-		"Messages":                -6.476972,
-		"Open":                    -6.476972,
-		"OutputDir":               -6.476972,
-		"Parser":                  -5.783825,
-		"Peters.":                 -6.476972,
-		"README":                  -6.476972,
-		"README.txt":              -5.090678,
-		"Release":                 -5.378360,
-		"Setup":                   -5.090678,
-		"Software":                -6.476972,
-		"SolidCompression":        -6.476972,
-		"Source":                  -2.950612,
-		"SourceDir":               -6.476972,
-		"Thai":                    -6.476972,
-		"The":                     -6.476972,
-		"This":                    -5.378360,
-		"Tim":                     -6.476972,
-		"Uninstall":               -6.476972,
-		"UninstallDisplayName":    -6.476972,
-		"UninstallFilesDir":       -6.476972,
-		"VersionInfoVersion":      -6.476972,
-		"Welcome":                 -6.476972,
-		"WelcomeLabel":            -5.783825,
-		"Wizard":                  -6.476972,
-		"XML":                     -5.090678,
-		"[":                       -5.090678,
-		"\\":                      -2.788093,
-		"]":                       -5.090678,
-		"a":                       -5.783825,
-		"all":                     -6.476972,
-		"allow":                   -6.476972,
-		"an":                      -6.476972,
-		"and":                     -5.378360,
-		"any":                     -6.476972,
-		"app":                     -6.476972,
-		"applications":            -5.783825,
-		"as":                      -6.476972,
-		"available":               -6.476972,
-		"bcb":                     -4.867534,
-		"before":                  -6.476972,
-		"benchmark":               -5.378360,
-		"bin":                     -5.378360,
-		"build":                   -6.476972,
-		"builder":                 -6.476972,
-		"builder.":                -6.476972,
-		"but":                     -6.476972,
-		"by":                      -6.476972,
-		"close":                   -6.476972,
-		"computer.":               -6.476972,
-		"conflicts":               -6.476972,
-		"continuing.":             -6.476972,
-		"contributed":             -6.476972,
-		"designed":                -6.476972,
-		"developers":              -6.476972,
-		"doc":                     -5.378360,
-		"during":                  -6.476972,
-		"examples":                -5.783825,
-		"expat":                   -6.476972,
-		"expat.dsw":               -6.476972,
-		"fast":                    -6.476972,
-		"for":                     -5.783825,
-		"free":                    -6.476972,
-		"have":                    -6.476972,
-		"help":                    -6.476972,
-		"http":                    -5.378360,
-		"ignoreversion":           -2.980465,
-		"implementation.":         -6.476972,
-		"information":             -6.476972,
-		"install":                 -6.476972,
-		"installation":            -6.476972,
-		"installer":               -5.783825,
-		"is":                      -5.378360,
-		"language":                -6.476972,
-		"later":                   -6.476972,
-		"lib":                     -5.090678,
-		"lzma":                    -6.476972,
-		"made":                    -6.476972,
-		"maintainers":             -6.476972,
-		"more":                    -6.476972,
-		"n":                       -5.783825,
-		"nExpat":                  -6.476972,
-		"nIt":                     -6.476972,
-		"name/ver":                -6.476972,
-		"on":                      -5.783825,
-		"other":                   -6.476972,
-		"parser":                  -6.476972,
-		"pf":                      -6.476972,
-		"portable":                -6.476972,
-		"prevent":                 -6.476972,
-		"primarily":               -6.476972,
-		"process.":                -6.476972,
-		"recommended":             -6.476972,
-		"running":                 -6.476972,
-		"script":                  -5.783825,
-		"see":                     -6.476972,
-		"setup":                   -6.476972,
-		"strongly":                -6.476972,
-		"tests":                   -4.531062,
-		"that":                    -6.476972,
-		"the":                     -4.867534,
-		"to":                      -5.378360,
-		"use":                     -6.476972,
-		"using":                   -6.476972,
-		"versions":                -6.476972,
-		"was":                     -5.783825,
-		"well.":                   -6.476972,
-		"which":                   -6.476972,
-		"will":                    -5.783825,
-		"win":                     -4.685213,
-		"with":                    -5.783825,
-		"works":                   -6.476972,
-		"www.jrsoftware.org.":     -6.476972,
-		"xmlwf":                   -5.378360,
-		"xmlwf.exe":               -6.476972,
-		"yes":                     -4.867534,
-		"you":                     -5.783825,
-		"your":                    -6.476972,
-		"{":                       -5.783825,
-		"}":                       -5.783825,
+		"%":                                -3.610918,
+		"&":                                -5.591919,
+		"'":                                -6.978214,
+		"(":                                -5.591919,
+		")":                                -5.591919,
+		"***":                              -5.591919,
+		"*.bat":                            -6.978214,
+		"*.bp*":                            -6.978214,
+		"*.c":                              -5.591919,
+		"*.c*":                             -6.978214,
+		"*.cpp":                            -6.978214,
+		"*.css":                            -6.978214,
+		"*.def":                            -6.285067,
+		"*.dll":                            -6.978214,
+		"*.ds*":                            -6.978214,
+		"*.dsp":                            -5.879601,
+		"*.h":                              -5.879601,
+		"*.html":                           -6.978214,
+		"*.lib":                            -6.978214,
+		"*.mak":                            -6.978214,
+		"*.png":                            -6.978214,
+		"*.txt":                            -6.978214,
+		"+":                                -6.978214,
+		",":                                -4.493307,
+		"-":                                -5.368776,
+		".":                                -6.978214,
+		"..":                               -6.978214,
+		"//jrsoftware.org/files/istrans/":  -6.978214,
+		"//www.libexpat.org/":              -5.879601,
+		":":                                -2.259715,
+		";":                                -2.547397,
+		"=":                                -2.989230,
+		"?":                                -5.879601,
+		"API":                              -6.285067,
+		"Additional":                       -6.285067,
+		"AdditionalIcons":                  -6.978214,
+		"AddonHostProgramNotFound":         -6.978214,
+		"All":                              -6.978214,
+		"AllowNoIcons":                     -6.978214,
+		"AppCopyright":                     -6.978214,
+		"AppId":                            -6.978214,
+		"AppName":                          -6.978214,
+		"AppPublisher":                     -6.978214,
+		"AppPublisherURL":                  -6.978214,
+		"AppSupportURL":                    -6.978214,
+		"AppUpdatesURL":                    -6.978214,
+		"AppVerName":                       -6.978214,
+		"AppVersion":                       -6.978214,
+		"AssocFileExtension":               -6.978214,
+		"Associate":                        -6.978214,
+		"Associating":                      -6.978214,
+		"AssocingFileExtension":            -6.978214,
+		"AutoStartProgram":                 -6.978214,
+		"AutoStartProgramGroupDescription": -6.978214,
+		"Automatically":                    -6.978214,
+		"BadGroupName":                     -6.978214,
+		"Basic":                            -6.978214,
+		"Browse.":                          -6.978214,
+		"C":                                -6.978214,
+		"COPYING":                          -6.978214,
+		"COPYING.txt":                      -6.978214,
+		"Center":                           -6.978214,
+		"Changes":                          -6.978214,
+		"Changes.txt":                      -6.978214,
+		"Clark":                            -6.978214,
+		"Compact":                          -6.978214,
+		"CompactInstallation":              -6.978214,
+		"ComponentSize":                    -6.285067,
+		"Components":                       -6.978214,
+		"ComponentsDiskSpaceGBLabel":       -6.978214,
+		"ComponentsDiskSpaceMBLabel":       -6.978214,
+		"Compression":                      -6.978214,
+		"Cooper":                           -6.978214,
+		"Copyright":                        -6.978214,
+		"Create":                           -6.285067,
+		"CreateDesktopIcon":                -6.978214,
+		"CreateQuickLaunchIcon":            -6.978214,
+		"Current":                          -6.285067,
+		"Custom":                           -6.978214,
+		"CustomInstallation":               -6.978214,
+		"CustomMessages":                   -6.978214,
+		"DefaultDirName":                   -6.978214,
+		"DestDir":                          -3.481706,
+		"DestName":                         -5.879601,
+		"Developers":                       -6.978214,
+		"DisableProgramGroupPage":          -6.978214,
+		"DisableReadyPage":                 -6.978214,
+		"DisableStartupPrompt":             -6.978214,
+		"Don":                              -6.978214,
+		"English":                          -6.978214,
+		"Exist":                            -6.978214,
+		"Expat":                            -5.032304,
+		"Files":                            -6.978214,
+		"Flags":                            -3.481706,
+		"Folder":                           -6.978214,
+		"For":                              -6.978214,
+		"GB":                               -6.978214,
+		"GroupNameTooLong":                 -6.978214,
+		"I":                                -6.978214,
+		"If":                               -6.978214,
+		"Inno":                             -5.879601,
+		"InvalidGroupName":                 -6.978214,
+		"It":                               -6.978214,
+		"KB":                               -6.978214,
+		"LangOptions":                      -6.978214,
+		"Launch":                           -6.285067,
+		"LaunchProgram":                    -6.978214,
+		"MANIFEST.txt":                     -6.978214,
+		"MB":                               -6.285067,
+		"Menu":                             -6.285067,
+		"Messages":                         -6.978214,
+		"MustEnterGroupName":               -6.978214,
+		"My":                               -6.285067,
+		"MyProg.exe":                       -6.978214,
+		"NameAndVersion":                   -6.978214,
+		"Next.":                            -6.285067,
+		"NoProgramGroupCheck":              -6.978214,
+		"NoUninstallWarning":               -6.978214,
+		"NoUninstallWarningTitle":          -6.978214,
+		"Note":                             -6.978214,
+		"Open":                             -6.978214,
+		"OutputDir":                        -6.978214,
+		"Parser":                           -6.285067,
+		"Peters.":                          -6.978214,
+		"Program":                          -6.285067,
+		"ProgramOnTheWeb":                  -6.978214,
+		"Quick":                            -6.978214,
+		"README":                           -6.978214,
+		"README.txt":                       -5.591919,
+		"Readme.txt":                       -6.978214,
+		"Release":                          -5.879601,
+		"Run":                              -6.978214,
+		"Select":                           -5.879601,
+		"SelectStartMenuFolderBrowseLabel": -6.978214,
+		"SelectStartMenuFolderDesc":        -6.978214,
+		"SelectTasksDesc":                  -6.978214,
+		"SelectTasksLabel":                 -6.978214,
+		"Setup":                            -4.780989,
+		"Software":                         -6.978214,
+		"SolidCompression":                 -6.978214,
+		"Source":                           -3.451853,
+		"SourceDir":                        -6.978214,
+		"Start":                            -6.285067,
+		"Startup":                          -6.978214,
+		"Tasks":                            -6.978214,
+		"Thai":                             -6.978214,
+		"The":                              -5.591919,
+		"This":                             -5.879601,
+		"Tim":                              -6.978214,
+		"To":                               -6.285067,
+		"Uninstall":                        -6.285067,
+		"UninstallDisplayName":             -6.978214,
+		"UninstallFilesDir":                -6.978214,
+		"UninstallProgram":                 -6.978214,
+		"VersionInfoVersion":               -6.978214,
+		"View":                             -6.978214,
+		"Web":                              -6.978214,
+		"Welcome":                          -6.978214,
+		"WelcomeLabel":                     -6.285067,
+		"When":                             -6.978214,
+		"Where":                            -6.978214,
+		"Which":                            -6.978214,
+		"Wizard":                           -6.978214,
+		"WizardSelectProgramGroup":         -6.978214,
+		"WizardSelectTasks":                -6.978214,
+		"XML":                              -5.591919,
+		"You":                              -6.978214,
+		"[":                                -4.780989,
+		"\\":                               -3.289334,
+		"]":                                -4.780989,
+		"a":                                -5.186454,
+		"add":                              -6.978214,
+		"additional":                       -6.285067,
+		"all":                              -6.978214,
+		"allow":                            -6.978214,
+		"already":                          -6.978214,
+		"an":                               -6.978214,
+		"and":                              -5.879601,
+		"any":                              -6.285067,
+		"anyway":                           -6.285067,
+		"app":                              -6.978214,
+		"applications":                     -6.285067,
+		"are":                              -6.978214,
+		"as":                               -6.285067,
+		"at":                               -6.285067,
+		"available":                        -6.978214,
+		"bcb":                              -5.368776,
+		"be":                               -6.285067,
+		"before":                           -6.978214,
+		"benchmark":                        -5.879601,
+		"bin":                              -5.879601,
+		"bit":                              -6.285067,
+		"build":                            -6.978214,
+		"builder":                          -6.978214,
+		"builder.":                         -6.978214,
+		"but":                              -6.285067,
+		"by":                               -6.285067,
+		"cannot":                           -6.978214,
+		"characters":                       -6.978214,
+		"click":                            -5.879601,
+		"close":                            -6.978214,
+		"components":                       -6.285067,
+		"computer":                         -6.978214,
+		"computer.":                        -6.978214,
+		"conflicts":                        -6.978214,
+		"continue":                         -5.879601,
+		"continuing.":                      -6.978214,
+		"contributed":                      -6.285067,
+		"could":                            -6.978214,
+		"designed":                         -6.978214,
+		"desktop":                          -6.978214,
+		"detected":                         -6.978214,
+		"developers":                       -6.978214,
+		"didn":                             -6.978214,
+		"different":                        -6.978214,
+		"disk":                             -6.285067,
+		"do":                               -6.978214,
+		"doc":                              -5.879601,
+		"download":                         -6.978214,
+		"during":                           -6.978214,
+		"end":                              -6.978214,
+		"enter":                            -6.978214,
+		"examples":                         -6.285067,
+		"expat":                            -6.978214,
+		"expat.dsw":                        -6.978214,
+		"extension":                        -6.978214,
+		"extension...":                     -6.978214,
+		"fast":                             -6.978214,
+		"file":                             -5.879601,
+		"folder":                           -5.186454,
+		"folder.":                          -6.978214,
+		"following":                        -5.879601,
+		"for":                              -6.285067,
+		"free":                             -6.978214,
+		"gb":                               -6.978214,
+		"go":                               -6.978214,
+		"has":                              -6.978214,
+		"have":                             -6.978214,
+		"help":                             -6.978214,
+		"http":                             -5.879601,
+		"https":                            -6.978214,
+		"if":                               -6.978214,
+		"ignoreversion":                    -3.481706,
+		"implementation.":                  -6.978214,
+		"in":                               -5.591919,
+		"include":                          -6.978214,
+		"information":                      -6.978214,
+		"install":                          -6.978214,
+		"installation":                     -5.879601,
+		"installed":                        -6.978214,
+		"installer":                        -6.285067,
+		"installing":                       -6.978214,
+		"is":                               -5.368776,
+		"itself":                           -6.978214,
+		"language":                         -6.285067,
+		"later":                            -6.978214,
+		"least":                            -6.285067,
+		"lib":                              -5.591919,
+		"like":                             -5.879601,
+		"ll":                               -6.978214,
+		"located":                          -6.978214,
+		"long.":                            -6.978214,
+		"lzma":                             -6.978214,
+		"made":                             -6.978214,
+		"maintainers":                      -6.978214,
+		"make":                             -6.978214,
+		"mb":                               -6.978214,
+		"mean":                             -6.978214,
+		"messages":                         -6.285067,
+		"more":                             -6.978214,
+		"must":                             -6.978214,
+		"n":                                -4.780989,
+		"nDeselecting":                     -6.978214,
+		"nDo":                              -6.978214,
+		"nExpat":                           -6.978214,
+		"nIt":                              -6.978214,
+		"nWould":                           -6.978214,
+		"name":                             -5.591919,
+		"name.":                            -6.978214,
+		"name/ver":                         -6.978214,
+		"not":                              -5.591919,
+		"of":                               -5.186454,
+		"on":                               -5.591919,
+		"or":                               -6.978214,
+		"other":                            -6.978214,
+		"page":                             -6.285067,
+		"parser":                           -6.978214,
+		"path":                             -6.978214,
+		"perform":                          -6.978214,
+		"performed":                        -6.978214,
+		"periods":                          -6.978214,
+		"pf":                               -6.978214,
+		"place":                            -6.978214,
+		"portable":                         -6.978214,
+		"prevent":                          -6.978214,
+		"primarily":                        -6.978214,
+		"process.":                         -6.978214,
+		"program":                          -6.978214,
+		"recommended":                      -6.978214,
+		"requires":                         -6.285067,
+		"running":                          -6.978214,
+		"s":                                -6.978214,
+		"script":                           -6.285067,
+		"scripts":                          -6.978214,
+		"section":                          -6.978214,
+		"see":                              -6.978214,
+		"select":                           -6.978214,
+		"selected.":                        -6.978214,
+		"selection":                        -6.285067,
+		"setup":                            -6.978214,
+		"shortcut":                         -6.285067,
+		"shortcuts":                        -6.285067,
+		"should":                           -6.285067,
+		"space.":                           -6.285067,
+		"start":                            -6.978214,
+		"strongly":                         -6.978214,
+		"t":                                -6.285067,
+		"tasks":                            -6.285067,
+		"tests":                            -5.032304,
+		"text":                             -6.978214,
+		"that":                             -5.879601,
+		"the":                              -4.270164,
+		"them":                             -6.978214,
+		"them.":                            -6.285067,
+		"then":                             -6.978214,
+		"these":                            -6.978214,
+		"this":                             -6.285067,
+		"to":                               -4.675629,
+		"too":                              -6.978214,
+		"translate":                        -6.285067,
+		"translating":                      -6.978214,
+		"translations":                     -6.978214,
+		"uninstall":                        -6.978214,
+		"use":                              -6.285067,
+		"used":                             -6.978214,
+		"user":                             -6.978214,
+		"users":                            -6.978214,
+		"using":                            -6.978214,
+		"valid.":                           -6.978214,
+		"version":                          -6.285067,
+		"versions":                         -6.978214,
+		"want":                             -6.285067,
+		"was":                              -6.285067,
+		"well.":                            -6.978214,
+		"which":                            -6.978214,
+		"while":                            -6.978214,
+		"will":                             -5.879601,
+		"win":                              -5.186454,
+		"with":                             -5.591919,
+		"wizard":                           -6.285067,
+		"works":                            -6.978214,
+		"would":                            -6.285067,
+		"www.jrsoftware.org.":              -6.978214,
+		"xmlwf":                            -5.879601,
+		"xmlwf.exe":                        -6.978214,
+		"yes":                              -5.368776,
+		"you":                              -4.780989,
+		"your":                             -5.591919,
+		"{":                                -6.285067,
+		"}":                                -6.285067,
 	},
 	"Ioke": map[string]float64{
 		"!":             -1.791759,
@@ -51007,73 +54152,75 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                    -5.055496,
 	},
 	"JSON with Comments": map[string]float64{
-		"$":                             -7.410347,
-		"(":                             -8.103494,
-		")":                             -8.103494,
-		",":                             -1.000995,
-		"-":                             -7.004882,
-		"//Defines":                     -5.705599,
-		"//Specifies":                   -8.103494,
-		":":                             -1.366527,
-		"<C-k>":                         -8.103494,
-		"<C-l>":                         -8.103494,
-		"<abbr>":                        -8.103494,
-		"<audio>":                       -7.410347,
-		"<colgroup>":                    -8.103494,
-		"<del>":                         -8.103494,
-		"<details>":                     -8.103494,
-		"<fieldset>":                    -8.103494,
-		"<figure>":                      -8.103494,
-		"<include_path>":                -8.103494,
-		"<input>":                       -8.103494,
-		"<object>":                      -8.103494,
-		"<spcomp_path>":                 -7.410347,
-		"<ul>":                          -8.103494,
-		"<video>":                       -7.410347,
-		"[":                             -2.458047,
-		"\\":                            -6.311735,
-		"]":                             -2.458047,
-		"a":                             -6.157584,
-		"acronym":                       -8.103494,
-		"all":                           -8.103494,
-		"alternate":                     -8.103494,
-		"an":                            -7.004882,
-		"and":                           -7.410347,
-		"applet":                        -8.103494,
-		"big":                           -8.103494,
-		"build.ps":                      -8.103494,
-		"centered":                      -8.103494,
-		"color":                         -7.410347,
-		"content":                       -8.103494,
-		"default":                       -8.103494,
-		"directory":                     -8.103494,
-		"do":                            -8.103494,
-		"document":                      -8.103494,
-		"embedded":                      -8.103494,
-		"false":                         -3.840814,
-		"font":                          -7.410347,
-		"for":                           -7.004882,
-		"frame":                         -8.103494,
-		"frames":                        -7.410347,
-		"frameset":                      -8.103494,
-		"in":                            -7.410347,
-		"list":                          -8.103494,
-		"not":                           -8.103494,
-		"of":                            -8.103494,
-		"project_path":                  -8.103494,
-		"project_path/scripts/build.sh": -8.103494,
-		"set":                           -8.103494,
-		"size":                          -7.410347,
-		"strikethrough":                 -8.103494,
-		"support":                       -8.103494,
-		"teletype":                      -8.103494,
-		"text":                          -6.311735,
-		"that":                          -8.103494,
-		"true":                          -3.976360,
-		"users":                         -8.103494,
-		"window":                        -8.103494,
-		"{":                             -2.691848,
-		"}":                             -2.691848,
+		"$":                             -7.474772,
+		"(":                             -8.167919,
+		")":                             -8.167919,
+		",":                             -1.005522,
+		"-":                             -7.069307,
+		"//Defines":                     -5.770024,
+		"//Specifies":                   -8.167919,
+		":":                             -1.354475,
+		"<C-k>":                         -8.167919,
+		"<C-l>":                         -8.167919,
+		"<abbr>":                        -8.167919,
+		"<audio>":                       -7.474772,
+		"<colgroup>":                    -8.167919,
+		"<del>":                         -8.167919,
+		"<details>":                     -8.167919,
+		"<fieldset>":                    -8.167919,
+		"<figure>":                      -8.167919,
+		"<include_path>":                -8.167919,
+		"<input>":                       -8.167919,
+		"<object>":                      -8.167919,
+		"<reference>":                   -8.167919,
+		"<spcomp_path>":                 -7.474772,
+		"<ul>":                          -8.167919,
+		"<video>":                       -7.474772,
+		"[":                             -2.501493,
+		"\\":                            -6.376160,
+		"]":                             -2.501493,
+		"a":                             -6.222009,
+		"acronym":                       -8.167919,
+		"all":                           -8.167919,
+		"alternate":                     -8.167919,
+		"an":                            -7.069307,
+		"and":                           -7.474772,
+		"applet":                        -8.167919,
+		"big":                           -8.167919,
+		"build.ps":                      -8.167919,
+		"centered":                      -8.167919,
+		"color":                         -7.474772,
+		"content":                       -8.167919,
+		"default":                       -8.167919,
+		"directory":                     -8.167919,
+		"do":                            -8.167919,
+		"document":                      -8.167919,
+		"embedded":                      -8.167919,
+		"false":                         -3.905239,
+		"font":                          -7.474772,
+		"for":                           -7.069307,
+		"frame":                         -8.167919,
+		"frames":                        -7.474772,
+		"frameset":                      -8.167919,
+		"in":                            -7.474772,
+		"list":                          -8.167919,
+		"not":                           -8.167919,
+		"of":                            -8.167919,
+		"path=":                         -8.167919,
+		"project_path":                  -8.167919,
+		"project_path/scripts/build.sh": -8.167919,
+		"set":                           -8.167919,
+		"size":                          -7.474772,
+		"strikethrough":                 -8.167919,
+		"support":                       -8.167919,
+		"teletype":                      -8.167919,
+		"text":                          -6.376160,
+		"that":                          -8.167919,
+		"true":                          -3.668110,
+		"users":                         -8.167919,
+		"window":                        -8.167919,
+		"{":                             -2.678982,
+		"}":                             -2.678982,
 	},
 	"JSON5": map[string]float64{
 		"+":                         -4.727388,
@@ -51287,1308 +54434,1369 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"static":                      -5.026345,
 	},
 	"Java": map[string]float64{
-		"!":                                -4.913588,
-		"\"":                               -9.749870,
-		"&":                                -7.552645,
-		"&&":                               -5.899722,
-		"(":                                -2.288230,
-		")":                                -2.288230,
-		"*":                                -9.056723,
-		"+":                                -4.508123,
-		",":                                -2.808680,
-		"-":                                -6.348673,
-		".add":                             -9.749870,
-		".compareTo":                       -8.651258,
-		".computeBytesSize":                -9.749870,
-		".ensureFieldAccessorsInitialized": -9.056723,
-		".equals":                          -9.749870,
-		".equalsIgnoreCase":                -8.140432,
-		".equiv":                           -9.056723,
-		".generateResponse":                -9.056723,
-		".getACL":                          -9.749870,
-		".getAllocator":                    -9.749870,
-		".getAttributes":                   -9.749870,
-		".getChildNodes":                   -9.056723,
-		".getClassName":                    -9.749870,
-		".getDescriptor":                   -9.749870,
-		".getName":                         -8.651258,
-		".getNodeName":                     -8.363576,
-		".getNodeValue":                    -9.749870,
-		".getScheme":                       -9.056723,
-		".getSerializedSize":               -9.749870,
-		".hasPermission":                   -9.749870,
-		".hasheq":                          -9.749870,
-		".internalBuildGeneratedFileFrom":  -9.749870,
-		".len":                             -9.749870,
-		".length":                          -9.749870,
-		".mergeFrom":                       -9.056723,
-		".read":                            -9.749870,
-		".replace":                         -9.056723,
-		".setUnfinishedMessage":            -9.749870,
-		".toString":                        -9.749870,
-		".toStringUtf":                     -9.749870,
-		".write":                           -9.749870,
-		".writeTo":                         -9.749870,
-		"//RubyModule":                     -9.749870,
-		"//XMLDocumentFilter":              -9.749870,
-		"//a":                              -9.749870,
-		"//cleanup":                        -9.749870,
-		":":                                -5.331029,
-		";":                                -2.422090,
-		"<":                                -6.754138,
-		"</a>":                             -9.749870,
-		"</code>":                          -6.977281,
-		"</i>":                             -9.056723,
-		"</tt>":                            -6.530994,
-		"<<":                               -9.056723,
-		"<?>":                              -8.651258,
-		"<Builder>":                        -9.749870,
-		"<ComputerListener>":               -9.056723,
-		"<ItemListener>":                   -9.056723,
-		"<K,>":                             -9.056723,
-		"<K,V>":                            -9.749870,
-		"<Object>":                         -9.056723,
-		"<Person>":                         -8.651258,
-		"<PullRequest,>":                   -9.749870,
-		"<PullRequest>":                    -8.651258,
-		"<RuntimeException>":               -9.749870,
-		"<Slave>":                          -9.056723,
-		"<String,>":                        -8.363576,
-		"<T>":                              -9.749870,
-		"<V>":                              -9.749870,
-		"<_Fields,>":                       -8.651258,
-		"<a>":                              -9.749870,
-		"<attr>":                           -9.056723,
-		"<choice>":                         -9.749870,
-		"<code>":                           -6.977281,
-		"<expression>":                     -9.749870,
-		"<i>":                              -9.056723,
-		"<literal>":                        -9.056723,
-		"<modifier>":                       -9.749870,
-		"<p>":                              -8.363576,
-		"<pat@polycrystal.org>":            -9.749870,
-		"<predicate>":                      -8.363576,
-		"<quantified>":                     -9.749870,
-		"<quantifier>":                     -9.749870,
-		"<rule>":                           -9.749870,
-		"<sequence>":                       -9.749870,
-		"<tt>":                             -6.530994,
-		"<yokolet@gmail.com>":              -9.056723,
-		"=":                                -2.996432,
-		">":                                -7.110813,
-		"?":                                -7.803960,
-		"@CLIResolver":                     -9.749870,
-		"@Generated":                       -9.749870,
-		"@Override":                        -7.184921,
-		"@SuppressWarnings":                -8.651258,
-		"@java.lang.Override":              -8.363576,
-		"ADMINISTER":                       -9.749870,
-		"ARRAY":                            -8.651258,
-		"ASTNode":                          -9.749870,
-		"ArrayIndexOutOfBoundsException":   -9.749870,
-		"ArrayList":                        -9.749870,
-		"Augmentations":                    -9.056723,
-		"BIG":                              -9.056723,
-		"BNF_ATTR":                         -9.056723,
-		"BNF_ATTRS":                        -9.056723,
-		"BNF_ATTR_PATTERN":                 -9.056723,
-		"BNF_ATTR_VALUE":                   -9.056723,
-		"BNF_CHOICE":                       -8.651258,
-		"BNF_EXPRESSION":                   -8.651258,
-		"BNF_ID":                           -7.958111,
-		"BNF_LEFT_BRACE":                   -8.363576,
-		"BNF_LEFT_BRACKET":                 -9.749870,
-		"BNF_LEFT_PAREN":                   -8.363576,
-		"BNF_LITERAL_EXPRESSION":           -8.363576,
-		"BNF_MODIFIER":                     -9.056723,
-		"BNF_NUMBER":                       -8.651258,
-		"BNF_OP_AND":                       -8.651258,
-		"BNF_OP_EQ":                        -9.056723,
-		"BNF_OP_IS":                        -9.056723,
-		"BNF_OP_NOT":                       -8.651258,
-		"BNF_OP_ONEMORE":                   -9.749870,
-		"BNF_OP_OPT":                       -9.749870,
-		"BNF_OP_OR":                        -8.651258,
-		"BNF_OP_ZEROMORE":                  -9.749870,
-		"BNF_PAREN_EXPRESSION":             -8.651258,
-		"BNF_PREDICATE":                    -8.651258,
-		"BNF_PREDICATE_SIGN":               -9.056723,
-		"BNF_QUANTIFIED":                   -8.651258,
-		"BNF_QUANTIFIER":                   -9.056723,
-		"BNF_REFERENCE_OR_TOKEN":           -8.651258,
-		"BNF_RIGHT_BRACE":                  -8.651258,
-		"BNF_RIGHT_BRACKET":                -9.749870,
-		"BNF_RIGHT_PAREN":                  -9.056723,
-		"BNF_RULE":                         -9.056723,
-		"BNF_SEMICOLON":                    -9.056723,
-		"BNF_SEQUENCE":                     -8.651258,
-		"BNF_STRING":                       -8.140432,
-		"BNF_STRING_LITERAL_EXPRESSION":    -8.363576,
-		"BOOLEAN":                          -7.958111,
-		"BOOLEAN_TYPE":                     -8.651258,
-		"BYTE":                             -7.958111,
-		"BYTE_TYPE":                        -8.651258,
-		"BasicLibraryService":              -9.749870,
-		"BigInt":                           -9.749870,
-		"BigInteger":                       -9.749870,
-		"BitSet":                           -8.651258,
-		"Boolean.TYPE":                     -9.056723,
-		"Boolean.valueOf":                  -9.749870,
-		"Builder":                          -6.754138,
-		"Builder.create":                   -9.749870,
-		"Byte.TYPE":                        -9.056723,
-		"CHAR":                             -7.958111,
-		"CHAR_TYPE":                        -8.651258,
-		"CharSequence":                     -8.363576,
-		"Character.TYPE":                   -9.056723,
-		"Class":                            -7.264963,
-		"ClassNotFoundException":           -9.749870,
-		"CloneNotSupportedException":       -6.614376,
-		"Cloneable":                        -9.749870,
-		"CloudList":                        -8.651258,
-		"Collections.synchronizedMap":      -9.749870,
-		"Collections.unmodifiableMap":      -9.749870,
-		"Comparable":                       -9.056723,
-		"ComputerListener.class":           -9.749870,
-		"ConcurrentHashMap":                -9.749870,
-		"Constructor":                      -9.749870,
-		"CopyOnWriteList":                  -8.363576,
-		"DOMParser":                        -9.749870,
-		"DOUBLE":                           -7.803960,
-		"DOUBLE_TYPE":                      -8.651258,
-		"DefaultFilter":                    -9.056723,
-		"Document":                         -9.056723,
-		"Double.TYPE":                      -9.056723,
-		"EMPTY_BUFFER":                     -9.749870,
-		"ENCODING_HANDLER_ALLOCATOR":       -9.056723,
-		"EXTENDS_SETS_":                    -9.056723,
-		"ElementValidityCheckFilter":       -8.651258,
-		"EncodingHandler":                  -9.749870,
-		"EncodingHandler.class":            -9.749870,
-		"EnumMap":                          -9.749870,
-		"EnumSet.allOf":                    -9.749870,
-		"Error":                            -9.749870,
-		"Exception":                        -9.749870,
-		"ExtensionListView.createCopyOnWriteList": -9.056723,
-		"FLOAT":                              -7.958111,
-		"FLOAT_TYPE":                         -8.651258,
-		"FieldMetaData":                      -8.651258,
-		"File":                               -9.056723,
-		"FlexLexer":                          -9.749870,
-		"Float.TYPE":                         -9.056723,
-		"Functions.toEmailSafeString":        -9.056723,
-		"GrammarParser":                      -9.749870,
-		"HTMLConfiguration":                  -9.749870,
-		"HTML_DOCUMENT_ALLOCATOR":            -9.056723,
-		"HTML_ELEMENT_DESCRIPTION_ALLOCATOR": -9.056723,
-		"HTML_ENTITY_LOOKUP_ALLOCATOR":       -9.056723,
-		"HTML_SAXPARSER_CONTEXT_ALLOCATOR":   -9.056723,
-		"HashMap":                            -8.651258,
-		"HtmlDocument":                       -7.803960,
-		"HtmlDocument.class":                 -9.749870,
-		"HtmlDomParserContext":               -8.651258,
-		"HtmlElementDescription":             -9.749870,
-		"HtmlElementDescription.class":       -9.749870,
-		"HtmlEntityLookup":                   -9.749870,
-		"HtmlEntityLookup.class":             -9.749870,
-		"HtmlSaxParserContext":               -8.140432,
-		"HtmlSaxParserContext.class":         -9.749870,
-		"Hudson":                             -8.140432,
-		"Hudson.class":                       -9.749870,
-		"IElementType":                       -8.363576,
-		"IHashEq":                            -9.056723,
-		"INT":                                -7.958111,
-		"INT_TYPE":                           -8.651258,
-		"IOException":                        -7.958111,
-		"IPersistentCollection":              -8.140432,
-		"IRubyObject":                        -6.194522,
-		"IScheme":                            -9.056723,
-		"ISeq":                               -9.056723,
-		"IllegalArgumentException":           -9.056723,
-		"IllegalStateException":              -9.056723,
-		"Integer":                            -9.056723,
-		"Integer.TYPE":                       -9.056723,
-		"InterruptedException":               -9.056723,
-		"ItemListener.class":                 -9.749870,
-		"Jenkins":                            -9.056723,
-		"Jenkins.CloudList":                  -9.749870,
-		"Jenkins.MasterComputer":             -9.749870,
-		"Jenkins.getInstance":                -9.056723,
-		"LONG":                               -7.803960,
-		"LONG_TYPE":                          -8.651258,
-		"LightPsiParser":                     -9.749870,
-		"List":                               -8.363576,
-		"Long":                               -9.749870,
-		"Map":                                -8.140432,
-		"Map.Entry":                          -9.749870,
-		"Marker":                             -6.223510,
-		"MasterComputer":                     -9.749870,
-		"MetaTag":                            -9.056723,
-		"Method":                             -8.651258,
-		"NAME_FIELD_NUMBER":                  -9.749870,
-		"Name":                               -9.749870,
-		"NamedNodeMap":                       -9.749870,
-		"NekoHtml":                           -9.749870,
-		"Node":                               -9.749870,
-		"NodeList":                           -9.056723,
-		"NokogiriErrorHandler":               -9.056723,
-		"NokogiriNonStrictErrorHandler":      -9.749870,
-		"NokogiriService":                    -9.749870,
-		"NokogiriService.HTML_DOCUMENT_ALLOCATOR.allocate": -9.749870,
-		"NokogiriStrictErrorHandler":                       -9.749870,
-		"NullPointerException":                             -8.651258,
-		"Number":                                           -7.552645,
-		"Numbers.compare":                                  -9.749870,
-		"Numbers.equal":                                    -9.749870,
-		"Numbers.hasheq":                                   -9.749870,
-		"OBJECT":                                           -8.651258,
-		"Object":                                           -6.223510,
-		"ObjectAllocator":                                  -5.655526,
-		"Opcodes.IALOAD":                                   -9.749870,
-		"Opcodes.IASTORE":                                  -9.749870,
-		"PARSER":                                           -9.056723,
-		"PARSER.parseDelimitedFrom":                        -9.056723,
-		"PARSER.parseFrom":                                 -7.670429,
-		"PARSER.parsePartialFrom":                          -9.749870,
-		"Parser":                                           -8.363576,
-		"Pers":                                             -9.749870,
-		"Person":                                           -7.447285,
-		"PersonOrBuilder":                                  -9.056723,
-		"PluginManager":                                    -9.749870,
-		"ProtocolBuffer":                                   -9.056723,
-		"PsiBuilder":                                       -5.858050,
-		"PsiParser":                                        -9.749870,
-		"PullRequest":                                      -6.916657,
-		"PullRequest.class":                                -9.749870,
-		"PullRequestStandardScheme":                        -8.651258,
-		"PullRequestStandardSchemeFactory":                 -9.056723,
-		"PullRequestTupleScheme":                           -8.651258,
-		"PullRequestTupleSchemeFactory":                    -9.056723,
-		"QName":                                            -9.056723,
-		"ReactorException":                                 -9.056723,
-		"Reference":                                        -8.651258,
-		"ReferenceQueue":                                   -9.749870,
-		"RemoveNSAttrsFilter":                              -9.056723,
-		"Ruby":                                             -5.988670,
-		"RubyArray.newEmptyArray":                          -9.749870,
-		"RubyClass":                                        -5.228081,
-		"RubyFixnum.newFixnum":                             -7.958111,
-		"RubyModule":                                       -6.859498,
-		"RuntimeException":                                 -8.140432,
-		"SHORT":                                            -7.958111,
-		"SHORT_TYPE":                                       -8.651258,
-		"STRUCT_DESC":                                      -9.056723,
-		"SchemeFactory":                                    -8.363576,
-		"ServletContext":                                   -9.056723,
-		"ServletException":                                 -8.651258,
-		"Short.TYPE":                                       -9.056723,
-		"Slave":                                            -8.651258,
-		"StandardScheme":                                   -9.749870,
-		"StandardScheme.class":                             -9.749870,
-		"StaplerRequest":                                   -8.651258,
-		"StaplerResponse":                                  -8.651258,
-		"String":                                           -5.818044,
-		"StringBuffer":                                     -7.110813,
-		"StringBuilder":                                    -9.056723,
-		"T":                                                -9.056723,
-		"TITLE":                                            -8.140432,
-		"TITLE_FIELD_DESC":                                 -9.056723,
-		"TRUE_CONDITION":                                   -9.749870,
-		"TTupleProtocol":                                   -8.363576,
-		"ThreadContext":                                    -9.056723,
-		"Throwable":                                        -8.363576,
-		"TokenSet":                                         -9.056723,
-		"TopLevelItem":                                     -8.651258,
-		"TupleScheme":                                      -9.749870,
-		"TupleScheme.class":                                -9.749870,
-		"Type":                                             -6.012200,
-		"Type.ARRAY":                                       -9.056723,
-		"Type.OBJECT":                                      -9.056723,
-		"Util":                                             -9.749870,
-		"Util.":                                            -9.749870,
-		"V":                                                -9.056723,
-		"VOID":                                             -8.140432,
-		"VOID_TYPE":                                        -8.651258,
-		"Void.TYPE":                                        -8.651258,
-		"XMLAttributes":                                    -9.056723,
-		"XMLDocumentFilter":                                -8.651258,
-		"XMLParserConfiguration":                           -9.749870,
-		"XML_ATTRIBUTE_DECL_ALLOCATOR":                     -9.056723,
-		"XML_ATTR_ALLOCATOR":                               -9.056723,
-		"XML_CDATA_ALLOCATOR":                              -9.056723,
-		"XML_COMMENT_ALLOCATOR":                            -9.056723,
-		"XML_DOCUMENT_ALLOCATOR":                           -9.056723,
-		"XML_DOCUMENT_FRAGMENT_ALLOCATOR":                  -9.056723,
-		"XML_DTD_ALLOCATOR":                                -9.056723,
-		"XML_ELEMENT_ALLOCATOR":                            -9.056723,
-		"XML_ELEMENT_CONTENT_ALLOCATOR":                    -9.056723,
-		"XML_ELEMENT_DECL_ALLOCATOR":                       -9.056723,
-		"XML_ENTITY_DECL_ALLOCATOR":                        -9.056723,
-		"XML_ENTITY_REFERENCE_ALLOCATOR":                   -9.056723,
-		"XML_NAMESPACE_ALLOCATOR":                          -9.056723,
-		"XML_NODESET_ALLOCATOR":                            -9.056723,
-		"XML_NODE_ALLOCATOR":                               -9.056723,
-		"XML_PROCESSING_INSTRUCTION_ALLOCATOR":             -9.056723,
-		"XML_READER_ALLOCATOR":                             -9.056723,
-		"XML_RELAXNG_ALLOCATOR":                            -9.056723,
-		"XML_SAXPARSER_CONTEXT_ALLOCATOR":                  -9.056723,
-		"XML_SAXPUSHPARSER_ALLOCATOR":                      -9.056723,
-		"XML_SCHEMA_ALLOCATOR":                             -9.056723,
-		"XML_SYNTAXERROR_ALLOCATOR":                        -9.056723,
-		"XML_TEXT_ALLOCATOR":                               -9.056723,
-		"XML_XPATHCONTEXT_ALLOCATOR":                       -9.056723,
-		"XNIException":                                     -9.056723,
-		"XSLT_STYLESHEET_ALLOCATOR":                        -9.056723,
-		"XSTREAM.alias":                                    -9.749870,
-		"XmlAttr":                                          -8.140432,
-		"XmlAttr.class":                                    -9.749870,
-		"XmlAttributeDecl":                                 -9.749870,
-		"XmlAttributeDecl.class":                           -9.749870,
-		"XmlCdata":                                         -8.140432,
-		"XmlCdata.class":                                   -9.749870,
-		"XmlComment":                                       -8.140432,
-		"XmlComment.class":                                 -9.749870,
-		"XmlDocument":                                      -7.670429,
-		"XmlDocument.class":                                -9.749870,
-		"XmlDocument.rbNew":                                -9.749870,
-		"XmlDocumentFragment":                              -8.140432,
-		"XmlDocumentFragment.class":                        -9.749870,
-		"XmlDomParserContext":                              -9.749870,
-		"XmlDtd":                                           -8.140432,
-		"XmlDtd.class":                                     -9.749870,
-		"XmlElement":                                       -8.140432,
-		"XmlElement.class":                                 -9.749870,
-		"XmlElementContent.class":                          -9.749870,
-		"XmlElementDecl":                                   -8.140432,
-		"XmlElementDecl.class":                             -9.749870,
-		"XmlEntityDecl":                                    -9.749870,
-		"XmlEntityDecl.EXTERNAL_GENERAL_PARSED":            -9.749870,
-		"XmlEntityDecl.EXTERNAL_GENERAL_UNPARSED": -9.749870,
-		"XmlEntityDecl.EXTERNAL_PARAMETER":        -9.749870,
-		"XmlEntityDecl.INTERNAL_GENERAL":          -9.749870,
-		"XmlEntityDecl.INTERNAL_PARAMETER":        -9.749870,
-		"XmlEntityDecl.INTERNAL_PREDEFINED":       -9.749870,
-		"XmlEntityDecl.class":                     -9.749870,
-		"XmlEntityReference":                      -8.140432,
-		"XmlEntityReference.class":                -9.749870,
-		"XmlNamespace":                            -8.140432,
-		"XmlNamespace.class":                      -9.749870,
-		"XmlNode":                                 -8.140432,
-		"XmlNode.class":                           -9.749870,
-		"XmlNodeSet":                              -8.140432,
-		"XmlNodeSet.class":                        -9.749870,
-		"XmlProcessingInstruction":                -8.140432,
-		"XmlProcessingInstruction.class":          -9.749870,
-		"XmlReader":                               -8.140432,
-		"XmlReader.class":                         -9.749870,
-		"XmlRelaxng":                              -8.140432,
-		"XmlRelaxng.class":                        -9.749870,
-		"XmlSaxParserContext":                     -8.140432,
-		"XmlSaxParserContext.class":               -9.749870,
-		"XmlSaxPushParser":                        -9.749870,
-		"XmlSaxPushParser.class":                  -9.749870,
-		"XmlSchema":                               -8.140432,
-		"XmlSchema.class":                         -9.749870,
-		"XmlSyntaxError":                          -8.140432,
-		"XmlSyntaxError.class":                    -9.749870,
-		"XmlText":                                 -7.958111,
-		"XmlText.class":                           -9.749870,
-		"XmlXpathContext":                         -8.140432,
-		"XmlXpathContext.class":                   -9.749870,
-		"XsltStylesheet":                          -8.363576,
-		"XsltStylesheet.class":                    -9.056723,
-		"YYEOF":                                   -8.363576,
-		"YYINITIAL":                               -9.056723,
-		"ZZ_ACTION":                               -9.056723,
-		"ZZ_ACTION_PACKED_":                       -9.056723,
-		"ZZ_ATTRIBUTE":                            -9.056723,
-		"ZZ_ATTRIBUTE_PACKED_":                    -9.056723,
-		"ZZ_BUFFERSIZE":                           -9.749870,
-		"ZZ_CMAP":                                 -9.056723,
-		"ZZ_CMAP_PACKED":                          -9.056723,
-		"ZZ_ERROR_MSG":                            -8.651258,
-		"ZZ_LEXSTATE":                             -9.056723,
-		"ZZ_NO_MATCH":                             -9.056723,
-		"ZZ_PUSHBACK_":                            -9.056723,
-		"ZZ_ROWMAP":                               -9.056723,
-		"ZZ_ROWMAP_PACKED_":                       -9.056723,
-		"ZZ_TRANS":                                -9.056723,
-		"ZZ_TRANS_PACKED_":                        -9.056723,
-		"ZZ_UNKNOWN_ERROR":                        -9.056723,
-		"[":                                       -5.095910,
-		"\\":                                      -7.351975,
-		"]":                                       -5.095910,
-		"^":                                       -9.749870,
-		"_":                                       -5.965680,
-		"_COLLAPSE_":                              -7.958111,
-		"_Fields":                                 -7.110813,
-		"_Fields.TITLE":                           -9.749870,
-		"_Fields.class":                           -9.056723,
-		"_Fields.findByThriftId":                  -9.749870,
-		"_GrammarLexer":                           -8.651258,
-		"_NONE_":                                  -7.447285,
-		"_NOT_":                                   -8.363576,
-		"_fieldName":                              -8.651258,
-		"_thriftId":                               -8.651258,
-		"adapt_builder_":                          -9.749870,
-		"advance":                                 -9.749870,
-		"allocate":                                -6.348673,
-		"any":                                     -9.749870,
-		"apache":                                  -8.651258,
-		"args":                                    -7.958111,
-		"argumentTypes":                           -9.056723,
-		"argumentTypes.length":                    -9.749870,
-		"assigner":                                -9.749870,
-		"attr":                                    -8.363576,
-		"attr.defineAnnotatedMethods":             -9.749870,
-		"attrDecl":                                -9.749870,
-		"attrDecl.defineAnnotatedMethods":         -9.749870,
-		"attr_":                                   -8.363576,
-		"attr_pattern":                            -8.651258,
-		"attr_recover_until":                      -9.056723,
-		"attr_recover_until_parser_":              -9.056723,
-		"attr_value":                              -8.651258,
-		"attr_value_":                             -8.363576,
-		"attrs":                                   -7.670429,
-		"attrs.getLength":                         -9.749870,
-		"attrs.getQName":                          -9.749870,
-		"attrs.removeAttributeAt":                 -9.749870,
-		"attrs_":                                  -9.056723,
-		"augs":                                    -8.363576,
-		"b":                                       -3.994128,
-		"b.append":                                -9.749870,
-		"b.getTreeBuilt":                          -9.749870,
-		"b.toString":                              -9.749870,
-		"basicLoad":                               -9.749870,
-		"bitField":                                -7.041820,
-		"boolean":                                 -4.921556,
-		"boost":                                   -9.749870,
-		"break":                                   -6.348673,
-		"bs":                                      -9.749870,
-		"bs.isValidUtf":                           -9.749870,
-		"bs.toStringUtf":                          -9.749870,
-		"buf":                                     -5.988670,
-		"buf.append":                              -6.705348,
-		"buf.toString":                            -8.363576,
-		"buffer":                                  -8.651258,
-		"build":                                   -9.749870,
-		"buildPartial":                            -8.651258,
-		"builder":                                 -8.363576,
-		"builder.getUnknownFields":                -9.749870,
-		"byName":                                  -9.749870,
-		"byName.get":                              -9.749870,
-		"byName.put":                              -9.749870,
-		"byte":                                    -8.363576,
-		"c":                                       -5.921229,
-		"c.dom.Document":                          -9.749870,
-		"c.dom.NamedNodeMap":                      -9.749870,
-		"c.dom.NodeList":                          -9.749870,
-		"c.getName":                               -9.749870,
-		"c.getParameterTypes":                     -9.749870,
-		"c.isPrimitive":                           -9.056723,
-		"cache":                                   -9.749870,
-		"cache.entrySet":                          -9.749870,
-		"cache.remove":                            -9.749870,
-		"car":                                     -6.859498,
-		"case":                                    -5.606735,
-		"catch":                                   -6.382574,
-		"cdata":                                   -9.749870,
-		"cdata.defineAnnotatedMethods":            -9.749870,
-		"char":                                    -6.571816,
-		"characterData":                           -8.651258,
-		"charset":                                 -9.056723,
-		"choice":                                  -8.651258,
-		"choice_":                                 -7.447285,
-		"choice_tail":                             -9.056723,
-		"class":                                   -6.805431,
-		"classOf":                                 -9.749870,
-		"classes":                                 -9.056723,
-		"classes.length":                          -9.056723,
-		"clear":                                   -9.056723,
-		"clearCache":                              -9.749870,
-		"clearName":                               -9.749870,
-		"clojure.asm":                             -9.749870,
-		"clojure.lang":                            -9.749870,
-		"clone":                                   -5.899722,
-		"clone.setMetaClass":                      -6.614376,
-		"com.google.protobuf.AbstractParser":      -9.749870,
-		"com.google.protobuf.ByteString":          -7.184921,
-		"com.google.protobuf.ByteString.copyFromUtf":                      -9.056723,
-		"com.google.protobuf.CodedInputStream":                            -8.140432,
-		"com.google.protobuf.CodedOutputStream":                           -9.056723,
-		"com.google.protobuf.Descriptors.Descriptor":                      -8.363576,
-		"com.google.protobuf.Descriptors.FileDescriptor":                  -8.363576,
-		"com.google.protobuf.ExtensionRegistry":                           -9.749870,
-		"com.google.protobuf.ExtensionRegistryLite":                       -7.670429,
-		"com.google.protobuf.GeneratedMessage":                            -9.749870,
-		"com.google.protobuf.GeneratedMessage.Builder":                    -9.056723,
-		"com.google.protobuf.GeneratedMessage.BuilderParent":              -9.056723,
-		"com.google.protobuf.GeneratedMessage.FieldAccessorTable":         -8.651258,
-		"com.google.protobuf.GeneratedMessage.alwaysUseFieldBuilders":     -9.749870,
-		"com.google.protobuf.InvalidProtocolBufferException":              -7.552645,
-		"com.google.protobuf.Message":                                     -9.749870,
-		"com.google.protobuf.MessageOrBuilder":                            -9.749870,
-		"com.google.protobuf.Parser":                                      -9.056723,
-		"com.google.protobuf.UnknownFieldSet":                             -9.056723,
-		"com.google.protobuf.UnknownFieldSet.Builder":                     -9.749870,
-		"com.google.protobuf.UnknownFieldSet.getDefaultInstance":          -9.749870,
-		"com.google.protobuf.UnknownFieldSet.newBuilder":                  -9.749870,
-		"com.intellij.lang.ASTNode":                                       -9.749870,
-		"com.intellij.lang.LightPsiParser":                                -9.749870,
-		"com.intellij.lang.PsiBuilder":                                    -9.749870,
-		"com.intellij.lang.PsiBuilder.Marker":                             -9.749870,
-		"com.intellij.lang.PsiParser":                                     -9.749870,
-		"com.intellij.lexer.*":                                            -9.749870,
-		"com.intellij.psi.TokenType.BAD_CHARACTER":                        -9.749870,
-		"com.intellij.psi.TokenType.WHITE_SPACE":                          -9.749870,
-		"com.intellij.psi.tree.IElementType":                              -9.056723,
-		"com.intellij.psi.tree.TokenSet":                                  -9.749870,
-		"com.intellij.util.text.CharArrayUtil.fromSequenceWithoutCopying": -9.749870,
-		"comment":                        -9.749870,
-		"comment.defineAnnotatedMethods": -9.749870,
-		"compare":                        -9.749870,
-		"compareTo":                      -9.749870,
-		"computerListeners":              -9.056723,
-		"config":                         -9.056723,
-		"config.setErrorHandler":         -9.749870,
-		"consumeToken":                   -6.194522,
-		"context":                        -7.670429,
-		"context.getRuntime":             -8.651258,
-		"count":                          -7.670429,
-		"create":                         -9.056723,
-		"createDocuments":                -9.056723,
-		"createHtmlModule":               -9.056723,
-		"createNokogiriClassCahce":       -9.056723,
-		"createNokogiriModule":           -9.056723,
-		"createSaxModule":                -9.056723,
-		"createSyntaxErrors":             -9.056723,
-		"createXmlModule":                -9.056723,
-		"createXsltModule":               -9.056723,
-		"create_token_set_":              -9.056723,
-		"current_position_":              -7.110813,
-		"d":                              -7.447285,
-		"d.getComponentType":             -9.749870,
-		"d.getName":                      -9.749870,
-		"d.isArray":                      -9.749870,
-		"d.isPrimitive":                  -9.749870,
-		"data":                           -7.670429,
-		"date":                           -9.749870,
-		"dead":                           -9.749870,
-		"deepCopy":                       -9.749870,
-		"default":                        -7.552645,
-		"defaultInstance":                -8.363576,
-		"defaultInstance.initFields":     -9.749870,
-		"descriptor":                     -9.056723,
-		"descriptorData":                 -9.056723,
-		"detected_encoding":              -9.056723,
-		"detected_encoding.isNil":        -9.749870,
-		"do":                             -8.363576,
-		"doFieldCheck":                   -9.056723,
-		"doLogRss":                       -9.749870,
-		"doQuietDown":                    -9.056723,
-		"document":                       -8.140432,
-		"document.getDocumentElement":    -9.056723,
-		"documentFragment":               -9.749870,
-		"documentFragment.defineAnnotatedMethods": -9.749870,
-		"done":                                  -8.363576,
-		"double":                                -8.363576,
-		"dtd":                                   -9.749870,
-		"dtd.defineAnnotatedMethods":            -9.749870,
-		"e":                                     -6.315883,
-		"e.getKey":                              -9.749870,
-		"e.getMessage":                          -9.749870,
-		"e.getUnfinishedMessage":                -9.749870,
-		"e.getValue":                            -9.749870,
-		"e.setUnfinishedMessage":                -9.749870,
-		"element":                               -8.651258,
-		"element.defineAnnotatedMethods":        -9.749870,
-		"element.uri":                           -9.749870,
-		"elementContent":                        -9.749870,
-		"elementContent.defineAnnotatedMethods": -9.749870,
-		"elementDecl":                           -9.749870,
-		"elementDecl.defineAnnotatedMethods":    -9.749870,
-		"elementValidityCheckFilter":            -8.651258,
-		"element_names":                         -8.651258,
-		"else":                                  -5.742537,
-		"empty_element_parsed_guard_":           -7.803960,
-		"enableDocumentFragment":                -9.749870,
-		"encHandler":                            -9.749870,
-		"encHandler.defineAnnotatedMethods":     -9.749870,
-		"encoding":                              -9.056723,
-		"end":                                   -7.958111,
-		"enter_section_":                        -6.223510,
-		"entityDecl":                            -9.749870,
-		"entityDecl.defineAnnotatedMethods":     -9.749870,
-		"entityDecl.defineConstant":             -7.958111,
-		"entref":                                -9.749870,
-		"entref.defineAnnotatedMethods":         -9.749870,
-		"entries":                               -9.749870,
-		"enum":                                  -9.749870,
-		"eof":                                   -9.056723,
-		"equals":                                -7.958111,
-		"equiv":                                 -6.916657,
-		"errorCode":                             -9.056723,
-		"errorHandler":                          -7.958111,
-		"errorHandler.getErrors":                -9.749870,
-		"exit_section_":                         -6.223510,
-		"expression":                            -7.803960,
-		"extends":                               -7.110813,
-		"extensionRegistry":                     -6.977281,
-		"false":                                 -5.307219,
-		"field":                                 -7.552645,
-		"field.getFieldName":                    -9.749870,
-		"fieldForId":                            -9.749870,
-		"fieldId":                               -7.803960,
-		"fieldName":                             -9.056723,
-		"fields":                                -8.651258,
-		"filters":                               -8.651258,
-		"final":                                 -5.013672,
-		"finally":                               -9.056723,
-		"findByName":                            -9.749870,
-		"findByThriftId":                        -9.056723,
-		"findByThriftIdOrThrow":                 -9.749870,
-		"first":                                 -9.056723,
-		"fixEmpty":                              -8.363576,
-		"for":                                   -6.977281,
-		"from_bitField":                         -9.056723,
-		"getArgumentTypes":                      -9.056723,
-		"getClass":                              -9.056723,
-		"getClassName":                          -9.749870,
-		"getComputerListeners":                  -9.749870,
-		"getConstructorDescriptor":              -9.749870,
-		"getDefaultInstance":                    -9.056723,
-		"getDefaultInstanceForType":             -9.056723,
-		"getDescriptor":                         -7.110813,
-		"getDescriptorForType":                  -9.749870,
-		"getDimensions":                         -8.651258,
-		"getElementType":                        -9.056723,
-		"getFieldName":                          -9.749870,
-		"getFieldValue":                         -9.749870,
-		"getInstance":                           -9.749870,
-		"getInternalName":                       -9.056723,
-		"getItem":                               -9.749870,
-		"getItems":                              -9.749870,
-		"getJob":                                -9.749870,
-		"getJobCaseInsensitive":                 -9.749870,
-		"getJobListeners":                       -9.749870,
-		"getMethodDescriptor":                   -9.056723,
-		"getName":                               -8.651258,
-		"getNameBytes":                          -8.140432,
-		"getNewEmptyDocument":                   -9.749870,
-		"getNode":                               -9.749870,
-		"getNokogiriClass":                      -9.749870,
-		"getObjectType":                         -9.749870,
-		"getOpcode":                             -9.749870,
-		"getParserForType":                      -9.749870,
-		"getReturnType":                         -9.056723,
-		"getScheme":                             -9.056723,
-		"getSerializedSize":                     -9.056723,
-		"getSize":                               -9.749870,
-		"getSlave":                              -9.749870,
-		"getSlaves":                             -9.749870,
-		"getSort":                               -9.749870,
-		"getThriftFieldId":                      -9.749870,
-		"getTitle":                              -9.056723,
-		"getTokenEnd":                           -9.749870,
-		"getTokenStart":                         -9.056723,
-		"getType":                               -7.447285,
-		"getUnknownFields":                      -8.651258,
-		"grammar":                               -9.056723,
-		"grammar_":                              -9.056723,
-		"h":                                     -9.056723,
-		"hasName":                               -8.140432,
-		"hash":                                  -8.651258,
-		"hashCode":                              -9.056723,
-		"hashCombine":                           -9.749870,
-		"hasheq":                                -9.749870,
-		"hc":                                    -8.363576,
-		"headers":                               -9.749870,
-		"headers.getLength":                     -9.749870,
-		"headers.item":                          -9.056723,
-		"high":                                  -9.056723,
-		"href=":                                 -9.749870,
-		"html.defineOrGetClassUnder":            -9.749870,
-		"htmlDoc":                               -9.749870,
-		"htmlDocument":                          -7.958111,
-		"htmlDocument.clone":                    -9.749870,
-		"htmlDocument.defineAnnotatedMethods":   -9.749870,
-		"htmlDocument.setDocumentNode":          -9.749870,
-		"htmlDocument.setEncoding":              -9.749870,
-		"htmlDocument.setParsedEncoding":        -9.749870,
-		"htmlElemDesc":                          -9.749870,
-		"htmlElemDesc.defineAnnotatedMethods":   -9.749870,
-		"htmlEntityLookup":                      -9.749870,
-		"htmlEntityLookup.defineAnnotatedMethods": -9.749870,
-		"htmlModule":                                  -8.140432,
-		"htmlModule.defineClassUnder":                 -8.651258,
-		"htmlModule.defineModuleUnder":                -9.749870,
-		"htmlSaxModule":                               -8.651258,
-		"htmlSaxModule.defineClassUnder":              -9.749870,
-		"htmlSaxParserContext":                        -8.363576,
-		"htmlSaxParserContext.clone":                  -9.749870,
-		"htmlSaxParserContext.defineAnnotatedMethods": -9.749870,
-		"hudson.ExtensionListView":                    -9.749870,
-		"hudson.Functions":                            -9.749870,
-		"hudson.Platform":                             -9.749870,
-		"hudson.PluginManager":                        -9.749870,
-		"hudson.Util.fixEmpty":                        -9.749870,
-		"hudson.cli.declarative.CLIResolver":          -9.749870,
-		"hudson.model":                                -9.749870,
-		"hudson.model.listeners.ItemListener":         -9.749870,
-		"hudson.slaves.ComputerListener":              -9.749870,
-		"hudson.util.CopyOnWriteList":                 -9.749870,
-		"hudson.util.FormValidation":                  -9.749870,
-		"i":                                           -5.445805,
-		"identical":                                   -9.749870,
-		"if":                                          -4.294549,
-		"implements":                                  -7.552645,
-		"import":                                      -5.115141,
-		"in":                                          -8.363576,
-		"incoming":                                    -9.749870,
-		"incoming.get":                                -9.749870,
-		"index":                                       -8.363576,
-		"init":                                        -9.056723,
-		"initErrorHandler":                            -9.749870,
-		"initFields":                                  -9.056723,
-		"initParser":                                  -9.749870,
-		"initialState":                                -9.056723,
-		"input":                                       -6.859498,
-		"input.readBytes":                             -9.749870,
-		"input.readTag":                               -9.749870,
-		"instanceof":                                  -6.754138,
-		"int":                                         -4.398012,
-		"interface":                                   -9.749870,
-		"internalGetFieldAccessorTable":               -9.056723,
-		"internal_static_persons_Person_descriptor":         -9.749870,
-		"internal_static_persons_Person_fieldAccessorTable": -9.749870,
-		"iprot":                                  -7.958111,
-		"iprot.getScheme":                        -9.749870,
-		"iprot.readBitSet":                       -9.749870,
-		"iprot.readFieldBegin":                   -9.749870,
-		"iprot.readFieldEnd":                     -9.749870,
-		"iprot.readString":                       -9.056723,
-		"iprot.readStructBegin":                  -9.749870,
-		"iprot.readStructEnd":                    -9.749870,
-		"isAdmin":                                -8.651258,
-		"isInitialized":                          -8.140432,
-		"isInteger":                              -9.749870,
-		"isNamespace":                            -9.749870,
-		"isPrimitive":                            -9.749870,
-		"isSet":                                  -9.749870,
-		"isSetTitle":                             -8.140432,
-		"isValid":                                -9.056723,
-		"item":                                   -9.056723,
-		"item.getName":                           -9.749870,
-		"itemListeners":                          -9.056723,
-		"j":                                      -6.658828,
-		"j.Logger":                               -9.749870,
-		"j.LoggerFactory":                        -9.749870,
-		"java.io.File":                           -9.749870,
-		"java.io.IOException":                    -6.977281,
-		"java.io.InputStream":                    -8.363576,
-		"java.io.ObjectInputStream":              -9.749870,
-		"java.io.ObjectOutputStream":             -9.749870,
-		"java.io.ObjectStreamException":          -9.749870,
-		"java.io.Reader":                         -8.651258,
-		"java.io.Serializable":                   -9.749870,
-		"java.lang.Object":                       -7.803960,
-		"java.lang.String":                       -7.110813,
-		"java.lang.ref.Reference":                -9.749870,
-		"java.lang.ref.ReferenceQueue":           -9.749870,
-		"java.lang.ref.SoftReference":            -9.749870,
-		"java.lang.reflect.Constructor":          -9.749870,
-		"java.lang.reflect.Method":               -9.749870,
-		"java.math.BigInteger":                   -9.749870,
-		"java.nio.ByteBuffer":                    -9.749870,
-		"java.text.NumberFormat":                 -9.749870,
-		"java.text.ParseException":               -9.749870,
-		"java.util.ArrayList":                    -9.749870,
-		"java.util.Arrays":                       -9.749870,
-		"java.util.BitSet":                       -9.749870,
-		"java.util.Collections":                  -8.651258,
-		"java.util.EnumMap":                      -9.749870,
-		"java.util.EnumSet":                      -9.749870,
-		"java.util.HashMap":                      -9.056723,
-		"java.util.HashSet":                      -9.749870,
-		"java.util.List":                         -9.056723,
-		"java.util.Map":                          -8.363576,
-		"java.util.Set":                          -9.749870,
-		"java.util.concurrent.ConcurrentHashMap": -9.749870,
-		"java_encoding":                          -9.056723,
-		"javax.annotation.Generated":             -9.749870,
-		"javax.servlet.ServletContext":           -9.749870,
-		"javax.servlet.ServletException":         -9.749870,
-		"jenkins.model.Jenkins":                  -9.749870,
-		"k":                                      -5.307219,
-		"klazz":                                  -5.077041,
-		"l":                                      -4.466666,
-		"la":                                     -9.749870,
-		"lastComparison":                         -7.803960,
-		"len":                                    -6.571816,
-		"list":                                   -9.056723,
-		"list.add":                               -9.056723,
-		"list.getLength":                         -9.749870,
-		"list.hashCode":                          -9.749870,
-		"list.item":                              -9.056723,
-		"literal_expression":                     -8.363576,
-		"long":                                   -8.140432,
-		"m":                                      -5.515764,
-		"m.getParameterTypes":                    -9.749870,
-		"m.getReturnType":                        -9.749870,
-		"makeExtensionsImmutable":                -9.749870,
-		"map":                                    -8.651258,
-		"match":                                  -9.056723,
-		"maybeForceBuilderInitialization":        -8.651258,
-		"memoizedIsInitialized":                  -8.363576,
-		"memoizedSerializedSize":                 -8.651258,
-		"mergeFrom":                              -8.140432,
-		"message":                                -8.363576,
-		"metaDataMap":                            -8.651258,
-		"meta_data":                              -8.651258,
-		"method":                                 -9.056723,
-		"method.getParameterTypes":               -9.749870,
-		"method.getReturnType":                   -9.749870,
-		"methodDescriptor":                       -9.056723,
-		"methodDescriptor.indexOf":               -9.749870,
-		"methodDescriptor.toCharArray":           -9.056723,
-		"modifier":                               -8.363576,
-		"mutable_bitField":                       -9.749870,
-		"n":                                      -8.140432,
-		"name":                                   -7.184921,
-		"name.charAt":                            -9.749870,
-		"name.getChars":                          -9.749870,
-		"name.length":                            -9.056723,
-		"name.rawname":                           -9.056723,
-		"name_":                                  -6.859498,
-		"namespace":                              -9.749870,
-		"namespace.defineAnnotatedMethods":       -9.749870,
-		"new":                                    -4.656120,
-		"newBuilder":                             -8.140432,
-		"newBuilderForType":                      -9.056723,
-		"newState":                               -9.056723,
-		"newUninitializedMessageException":       -9.749870,
-		"nextTokenIs":                            -7.552645,
-		"nil":                                    -9.056723,
-		"noInit":                                 -9.749870,
-		"node":                                   -7.110813,
-		"node.defineAnnotatedMethods":            -9.749870,
-		"nodeMap":                                -9.749870,
-		"nodeMap.getLength":                      -9.749870,
-		"nodeMap.item":                           -9.056723,
-		"nodeSet":                                -9.749870,
-		"nodeSet.defineAnnotatedMethods":         -9.749870,
-		"nokogiri":                               -7.958111,
-		"nokogiri.HtmlDocument":                  -9.749870,
-		"nokogiri.NokogiriService":               -9.749870,
-		"nokogiri.XmlDocument":                   -9.749870,
-		"nokogiri.defineClassUnder":              -9.056723,
-		"nokogiri.defineModuleUnder":             -8.651258,
-		"nokogiri.internals":                     -9.749870,
-		"nokogiri.internals.NokogiriHelpers.getNokogiriClass": -9.749870,
-		"nokogiri.internals.NokogiriHelpers.isNamespace":      -9.749870,
-		"nokogiri.internals.NokogiriHelpers.stringOrNil":      -9.749870,
-		"nokogiriClassCache":                                  -9.056723,
-		"nokogiriClassCache.put":                              -6.491774,
-		"nokogiriClassCacheGvarName":                          -9.749870,
-		"null":                                                -4.844595,
-		"number":                                              -8.651258,
-		"o":                                                   -7.264963,
-		"o.hashCode":                                          -9.056723,
-		"off":                                                 -6.530994,
-		"offset":                                              -6.754138,
-		"on":                                                  -9.749870,
-		"onBuilt":                                             -9.749870,
-		"onChanged":                                           -8.363576,
-		"opcode":                                              -6.916657,
-		"oprot":                                               -8.363576,
-		"oprot.getScheme":                                     -9.749870,
-		"oprot.writeBitSet":                                   -9.749870,
-		"oprot.writeFieldBegin":                               -9.749870,
-		"oprot.writeFieldEnd":                                 -9.749870,
-		"oprot.writeFieldStop":                                -9.749870,
-		"oprot.writeString":                                   -9.056723,
-		"oprot.writeStructBegin":                              -9.749870,
-		"oprot.writeStructEnd":                                -9.749870,
-		"option":                                              -8.651258,
-		"optionals":                                           -9.056723,
-		"optionals.set":                                       -9.749870,
-		"options":                                             -8.363576,
-		"options.noError":                                     -9.056723,
-		"options.noWarning":                                   -9.056723,
-		"options.strict":                                      -9.749870,
-		"org":                                                 -8.651258,
-		"org.apache.thrift.EncodingUtils":                     -9.749870,
-		"org.apache.thrift.TBase":                             -9.749870,
-		"org.apache.thrift.TBaseHelper.compareTo":                        -9.749870,
-		"org.apache.thrift.TException":                                   -7.447285,
-		"org.apache.thrift.TFieldIdEnum":                                 -9.749870,
-		"org.apache.thrift.TFieldRequirementType.DEFAULT":                -9.749870,
-		"org.apache.thrift.async.AsyncMethodCallback":                    -9.749870,
-		"org.apache.thrift.meta_data.FieldMetaData":                      -9.749870,
-		"org.apache.thrift.meta_data.FieldMetaData.addStructMetaDataMap": -9.749870,
-		"org.apache.thrift.meta_data.FieldValueMetaData":                 -9.749870,
-		"org.apache.thrift.protocol.TCompactProtocol":                    -9.056723,
-		"org.apache.thrift.protocol.TField":                              -8.651258,
-		"org.apache.thrift.protocol.TProtocol":                           -7.958111,
-		"org.apache.thrift.protocol.TProtocolException":                  -9.749870,
-		"org.apache.thrift.protocol.TProtocolUtil.skip":                  -9.056723,
-		"org.apache.thrift.protocol.TStruct":                             -9.056723,
-		"org.apache.thrift.protocol.TTupleProtocol":                      -9.749870,
-		"org.apache.thrift.protocol.TType.STOP":                          -9.749870,
-		"org.apache.thrift.protocol.TType.STRING":                        -8.651258,
-		"org.apache.thrift.scheme.IScheme":                               -9.749870,
-		"org.apache.thrift.scheme.SchemeFactory":                         -9.749870,
-		"org.apache.thrift.scheme.StandardScheme":                        -9.749870,
-		"org.apache.thrift.scheme.TupleScheme":                           -9.749870,
-		"org.apache.thrift.server.AbstractNonblockingServer.*":           -9.749870,
-		"org.apache.thrift.transport.TIOStreamTransport":                 -9.056723,
-		"org.apache.xerces.parsers.DOMParser":                            -9.749870,
-		"org.apache.xerces.xni.Augmentations":                            -9.749870,
-		"org.apache.xerces.xni.QName":                                    -9.749870,
-		"org.apache.xerces.xni.XMLAttributes":                            -9.749870,
-		"org.apache.xerces.xni.XNIException":                             -9.749870,
-		"org.apache.xerces.xni.parser.XMLDocumentFilter":                 -9.749870,
-		"org.apache.xerces.xni.parser.XMLParserConfiguration":            -9.749870,
-		"org.cyberneko.html.HTMLConfiguration":                           -9.749870,
-		"org.cyberneko.html.filters.DefaultFilter":                       -9.749870,
-		"org.intellij.grammar.parser":                                    -9.749870,
-		"org.intellij.grammar.parser.GeneratedParserUtilBase.*":          -9.749870,
-		"org.intellij.grammar.psi.BnfTypes.*":                            -9.056723,
-		"org.jruby.Ruby":                                                 -9.056723,
-		"org.jruby.RubyArray":                                            -9.749870,
-		"org.jruby.RubyClass":                                            -9.056723,
-		"org.jruby.RubyFixnum":                                           -9.749870,
-		"org.jruby.RubyModule":                                           -9.749870,
-		"org.jruby.runtime.ObjectAllocator":                              -9.749870,
-		"org.jruby.runtime.ThreadContext":                                -9.749870,
-		"org.jruby.runtime.builtin.IRubyObject":                          -9.056723,
-		"org.jruby.runtime.load.BasicLibraryService":                     -9.749870,
-		"org.jvnet.hudson.reactor.ReactorException":                      -9.749870,
-		"org.kohsuke.stapler.QueryParameter":                             -9.749870,
-		"org.kohsuke.stapler.Stapler":                                    -9.749870,
-		"org.kohsuke.stapler.StaplerRequest":                             -9.749870,
-		"org.kohsuke.stapler.StaplerResponse":                            -9.749870,
-		"org.slf":                                                        -9.056723,
-		"org.w":                                                          -8.651258,
-		"other":                                                          -7.670429,
-		"other.getClass":                                                 -9.056723,
-		"other.getUnknownFields":                                         -9.749870,
-		"other.hasName":                                                  -9.749870,
-		"other.isSetTitle":                                               -9.056723,
-		"other.name_":                                                    -9.749870,
-		"other.title":                                                    -9.056723,
-		"out":                                                            -9.056723,
-		"output":                                                         -9.056723,
-		"output.writeBytes":                                              -9.749870,
-		"p":                                                              -6.454033,
-		"package":                                                        -7.670429,
-		"packed":                                                         -8.140432,
-		"packed.charAt":                                                  -7.447285,
-		"packed.length":                                                  -8.363576,
-		"parameters":                                                     -8.363576,
-		"parameters.length":                                              -9.056723,
-		"paren_expression":                                               -8.651258,
-		"parent":                                                         -8.363576,
-		"parse":                                                          -8.651258,
-		"parseDelimitedFrom":                                             -9.056723,
-		"parseFrom":                                                      -7.670429,
-		"parseLight":                                                     -9.056723,
-		"parsePartialFrom":                                               -9.749870,
-		"parseUnknownField":                                              -9.749870,
-		"parse_root_":                                                    -9.056723,
-		"parsedMessage":                                                  -8.140432,
-		"parser":                                                         -9.749870,
-		"pcequiv":                                                        -9.056723,
-		"persons":                                                        -9.749870,
-		"persons.ProtocolBuffer.Person":                                  -6.658828,
-		"persons.ProtocolBuffer.Person.Builder.class":                    -9.056723,
-		"persons.ProtocolBuffer.Person.class":                            -9.056723,
-		"persons.ProtocolBuffer.Person.getDefaultInstance":               -9.056723,
-		"persons.ProtocolBuffer.PersonOrBuilder":                         -9.749870,
-		"persons.ProtocolBuffer.internal_static_persons_Person_descriptor":         -8.651258,
-		"persons.ProtocolBuffer.internal_static_persons_Person_fieldAccessorTable": -9.056723,
-		"pi":                                 -9.749870,
-		"pi.defineAnnotatedMethods":          -9.749870,
-		"pluginManager":                      -9.056723,
-		"pos":                                -8.651258,
-		"predicate":                          -8.651258,
-		"predicate_sign":                     -8.651258,
-		"present_title":                      -8.651258,
-		"private":                            -4.732590,
-		"prot":                               -8.363576,
-		"protected":                          -7.552645,
-		"prototype":                          -9.056723,
-		"public":                             -4.107963,
-		"qs":                                 -8.651258,
-		"quantified":                         -8.651258,
-		"quantified_":                        -7.958111,
-		"quantifier":                         -8.651258,
-		"r":                                  -4.277599,
-		"read":                               -8.363576,
-		"readObject":                         -9.749870,
-		"reader":                             -9.749870,
-		"reader.defineAnnotatedMethods":      -9.749870,
-		"recursion_guard_":                   -5.965680,
-		"ref":                                -6.977281,
-		"reference_or_token":                 -8.363576,
-		"registerAllExtensions":              -9.749870,
-		"registry":                           -9.749870,
-		"relaxng":                            -9.749870,
-		"relaxng.defineAnnotatedMethods":     -9.749870,
-		"removeNSAttrsFilter":                -9.056723,
-		"report_error_":                      -7.803960,
-		"req":                                -8.363576,
-		"req.getParameter":                   -8.363576,
-		"req.getQueryString":                 -9.749870,
-		"reset":                              -9.749870,
-		"result":                             -6.530994,
-		"result.bitField":                    -9.749870,
-		"result.isInitialized":               -9.749870,
-		"result.name_":                       -9.749870,
-		"ret":                                -7.958111,
-		"return":                             -3.743517,
-		"returnType":                         -9.749870,
-		"returnType.getDescriptor":           -9.749870,
-		"root":                               -8.363576,
-		"rq":                                 -9.749870,
-		"rq.poll":                            -9.056723,
-		"rsp":                                -8.140432,
-		"rsp.sendRedirect":                   -9.749870,
-		"ruby":                               -6.530994,
-		"ruby.defineModule":                  -9.749870,
-		"ruby.getClassFromPath":              -6.491774,
-		"ruby.getObject":                     -7.184921,
-		"ruby.getStandardError":              -9.056723,
-		"ruby_encoding":                      -8.651258,
-		"ruby_encoding.isNil":                -9.749870,
-		"rule":                               -8.651258,
-		"rule_":                              -7.958111,
-		"rule_recover_until":                 -9.056723,
-		"rule_recover_until_parser_":         -9.056723,
-		"runtime":                            -5.272533,
-		"runtime.newNotImplementedError":     -9.749870,
-		"runtimeException":                   -9.056723,
-		"s":                                  -7.447285,
-		"sb":                                 -9.749870,
-		"sb.append":                          -8.363576,
-		"sb.toString":                        -9.749870,
-		"schema":                             -9.056723,
-		"schema.defineAnnotatedMethods":      -9.749870,
-		"schemeField":                        -9.056723,
-		"schemeField.id":                     -9.749870,
-		"schemeField.type":                   -8.363576,
-		"schemes":                            -9.749870,
-		"schemes.get":                        -9.056723,
-		"schemes.put":                        -9.056723,
-		"seed":                               -8.140432,
-		"sequence":                           -7.958111,
-		"serialVersionUID":                   -9.749870,
-		"setFeature":                         -8.363576,
-		"setFieldValue":                      -9.749870,
-		"setName":                            -9.749870,
-		"setNameBytes":                       -9.749870,
-		"setNodes":                           -9.749870,
-		"setProperty":                        -8.363576,
-		"setSlaves":                          -9.749870,
-		"setTitle":                           -9.056723,
-		"setTitleIsSet":                      -9.749870,
-		"short":                              -8.140432,
-		"sign":                               -9.056723,
-		"simple":                             -8.651258,
-		"simple_":                            -7.670429,
-		"size":                               -6.977281,
-		"slaves":                             -8.651258,
-		"sneakyThrow":                        -8.651258,
-		"sort":                               -6.859498,
-		"start":                              -9.056723,
-		"startElement":                       -9.056723,
-		"static":                             -4.307452,
-		"stringOrNil":                        -9.749870,
-		"string_literal_expression":          -8.651258,
-		"struct":                             -8.363576,
-		"struct.isSetTitle":                  -9.056723,
-		"struct.setTitleIsSet":               -9.056723,
-		"struct.title":                       -8.140432,
-		"struct.validate":                    -9.056723,
-		"stylesheet":                         -9.749870,
-		"stylesheet.defineAnnotatedMethods":  -9.749870,
-		"super":                              -7.803960,
-		"super.clear":                        -9.749870,
-		"super.mergeFrom":                    -9.749870,
-		"super.startElement":                 -9.056723,
-		"super.writeReplace":                 -9.749870,
-		"switch":                             -7.264963,
-		"synchronized":                       -9.749870,
-		"syntaxError":                        -9.056723,
-		"t":                                  -6.315883,
-		"t.buf":                              -9.749870,
-		"t.len":                              -9.749870,
-		"t.off":                              -9.749870,
-		"t.sort":                             -9.749870,
-		"tag":                                -8.651258,
-		"te":                                 -8.363576,
-		"test":                               -9.749870,
-		"testee":                             -9.749870,
-		"testee.equals":                      -9.749870,
-		"testee.toCharArray":                 -9.749870,
-		"text":                               -9.056723,
-		"text.defineAnnotatedMethods":        -9.749870,
-		"that":                               -7.958111,
-		"that.isSetTitle":                    -9.749870,
-		"that.title":                         -9.749870,
-		"that_present_title":                 -8.651258,
-		"this":                               -6.614376,
-		"this.buf":                           -9.056723,
-		"this.equals":                        -9.749870,
-		"this.errorHandler":                  -9.056723,
-		"this.isSetTitle":                    -9.749870,
-		"this.len":                           -9.056723,
-		"this.mergeUnknownFields":            -9.749870,
-		"this.off":                           -9.749870,
-		"this.sort":                          -9.056723,
-		"this.title":                         -7.351975,
-		"this.title.equals":                  -9.749870,
-		"this.unknownFields":                 -8.363576,
-		"this.zzReader":                      -9.749870,
-		"this_present_title":                 -8.651258,
-		"thrift":                             -8.651258,
-		"thriftId":                           -9.056723,
-		"throw":                              -6.977281,
-		"throws":                             -6.194522,
-		"title":                              -7.958111,
-		"tmpMap":                             -9.056723,
-		"tmpMap.put":                         -9.749870,
-		"toBuilder":                          -9.749870,
-		"toString":                           -9.056723,
-		"to_bitField":                        -8.651258,
-		"transient":                          -9.056723,
-		"true":                               -5.837847,
-		"try":                                -6.417666,
-		"tryGetCharsetFromHtml":              -9.056723,
-		"typeDescriptor":                     -9.749870,
-		"typeDescriptor.toCharArray":         -9.749870,
-		"types":                              -8.651258,
-		"unknownFields":                      -8.651258,
-		"unknownFields.build":                -9.749870,
-		"unsetTitle":                         -9.056723,
-		"val":                                -8.651258,
-		"val.get":                            -9.749870,
-		"validate":                           -9.749870,
-		"value":                              -6.658828,
-		"void":                               -5.988670,
-		"while":                              -6.382574,
-		"wrapDocument":                       -9.749870,
-		"write":                              -8.363576,
-		"writeObject":                        -9.749870,
-		"writeReplace":                       -9.749870,
-		"writeTo":                            -9.749870,
-		"x":                                  -7.803960,
-		"x.getClass":                         -9.749870,
-		"xmlAttr":                            -8.651258,
-		"xmlAttr.clone":                      -9.749870,
-		"xmlCdata":                           -8.651258,
-		"xmlCdata.clone":                     -9.749870,
-		"xmlComment":                         -8.651258,
-		"xmlComment.clone":                   -9.749870,
-		"xmlDocument":                        -8.140432,
-		"xmlDocument.clone":                  -9.749870,
-		"xmlDocument.defineAnnotatedMethods": -9.749870,
-		"xmlDocumentFragment":                -8.651258,
-		"xmlDocumentFragment.clone":          -9.749870,
-		"xmlDtd":                             -8.651258,
-		"xmlDtd.clone":                       -9.749870,
-		"xmlElement":                         -8.651258,
-		"xmlElement.clone":                   -9.749870,
-		"xmlElementDecl":                     -8.651258,
-		"xmlElementDecl.clone":               -9.749870,
-		"xmlEntityRef":                       -8.651258,
-		"xmlEntityRef.clone":                 -9.749870,
-		"xmlModule":                          -7.803960,
-		"xmlModule.defineClassUnder":         -6.614376,
-		"xmlModule.defineModuleUnder":        -9.749870,
-		"xmlNamespace":                       -8.651258,
-		"xmlNamespace.clone":                 -9.749870,
-		"xmlNode":                            -8.140432,
-		"xmlNode.clone":                      -9.749870,
-		"xmlNodeSet":                         -8.140432,
-		"xmlNodeSet.clone":                   -9.749870,
-		"xmlNodeSet.setNodes":                -9.749870,
-		"xmlProcessingInstruction":           -8.651258,
-		"xmlProcessingInstruction.clone":     -9.749870,
-		"xmlReader":                          -8.140432,
-		"xmlReader.clone":                    -9.749870,
-		"xmlRelaxng":                         -8.651258,
-		"xmlRelaxng.clone":                   -9.749870,
-		"xmlSaxModule":                       -8.651258,
-		"xmlSaxModule.defineClassUnder":      -9.056723,
-		"xmlSaxParserContext":                -8.140432,
-		"xmlSaxParserContext.clone":          -9.749870,
-		"xmlSaxParserContext.defineAnnotatedMethods": -9.749870,
-		"xmlSaxPushParser":                           -9.749870,
-		"xmlSaxPushParser.defineAnnotatedMethods":    -9.749870,
-		"xmlSchema":                             -8.651258,
-		"xmlSchema.clone":                       -9.749870,
-		"xmlSyntaxError":                        -8.363576,
-		"xmlSyntaxError.clone":                  -9.749870,
-		"xmlSyntaxError.defineAnnotatedMethods": -9.749870,
-		"xmlText":                               -8.651258,
-		"xmlText.clone":                         -9.749870,
-		"xmlXpathContext":                       -8.651258,
-		"xmlXpathContext.clone":                 -9.749870,
-		"xpathContext":                          -9.749870,
-		"xpathContext.defineAnnotatedMethods":   -9.749870,
-		"xsltModule":                            -8.651258,
-		"xsltModule.defineAnnotatedMethod":      -9.749870,
-		"xsltModule.defineClassUnder":           -9.749870,
-		"xsltStylesheet":                        -8.651258,
-		"xsltStylesheet.clone":                  -9.749870,
-		"yybegin":                               -9.056723,
-		"yycharat":                              -9.749870,
-		"yylength":                              -8.651258,
-		"yypushback":                            -9.749870,
-		"yystate":                               -9.749870,
-		"yytext":                                -9.749870,
-		"zzAction":                              -7.958111,
-		"zzAtBOL":                               -9.056723,
-		"zzAtEOF":                               -8.363576,
-		"zzAttrL":                               -9.056723,
-		"zzAttributes":                          -8.651258,
-		"zzBuffer":                              -8.363576,
-		"zzBuffer.charAt":                       -9.749870,
-		"zzBuffer.subSequence":                  -9.749870,
-		"zzBufferArray":                         -8.140432,
-		"zzBufferArrayL":                        -8.140432,
-		"zzBufferL":                             -9.056723,
-		"zzBufferL.charAt":                      -9.056723,
-		"zzCMapL":                               -9.056723,
-		"zzCurrentPos":                          -7.958111,
-		"zzCurrentPosL":                         -7.447285,
-		"zzEndRead":                             -8.363576,
-		"zzEndReadL":                            -8.651258,
-		"zzForAction":                           -8.140432,
-		"zzInput":                               -7.803960,
-		"zzLexicalState":                        -8.363576,
-		"zzMarkedPos":                           -7.552645,
-		"zzMarkedPosL":                          -7.803960,
-		"zzNext":                                -8.651258,
-		"zzPushbackPos":                         -9.056723,
-		"zzReader":                              -9.749870,
-		"zzRefill":                              -9.056723,
-		"zzRowMapL":                             -9.056723,
-		"zzScanError":                           -8.651258,
-		"zzStartRead":                           -7.552645,
-		"zzState":                               -7.958111,
-		"zzTransL":                              -9.056723,
-		"zzUnpackAction":                        -8.363576,
-		"zzUnpackAttribute":                     -8.363576,
-		"zzUnpackCMap":                          -9.056723,
-		"zzUnpackRowMap":                        -8.363576,
-		"zzUnpackTrans":                         -8.363576,
-		"{":                                     -3.313720,
-		"|":                                     -7.958111,
-		"||":                                    -7.110813,
-		"}":                                     -3.310520,
-		"~":                                     -9.056723,
+		"!":                                -4.938463,
+		"\"":                               -9.774745,
+		"&":                                -7.577520,
+		"&&":                               -5.924597,
+		"(":                                -2.289814,
+		")":                                -2.289814,
+		"*":                                -9.081597,
+		"+":                                -4.532998,
+		",":                                -2.810609,
+		"-":                                -6.373547,
+		".add":                             -9.774745,
+		".compareTo":                       -8.676132,
+		".computeBytesSize":                -9.774745,
+		".ensureFieldAccessorsInitialized": -9.081597,
+		".equals":                          -9.774745,
+		".equalsIgnoreCase":                -8.165307,
+		".equiv":                           -9.081597,
+		".generateResponse":                -9.081597,
+		".getACL":                          -9.774745,
+		".getAllocator":                    -9.774745,
+		".getAttributes":                   -9.774745,
+		".getChildNodes":                   -9.081597,
+		".getClassName":                    -9.774745,
+		".getDescriptor":                   -9.774745,
+		".getName":                         -8.676132,
+		".getNodeName":                     -8.388450,
+		".getNodeValue":                    -9.774745,
+		".getScheme":                       -9.081597,
+		".getSerializedSize":               -9.774745,
+		".hasPermission":                   -9.774745,
+		".hasheq":                          -9.774745,
+		".internalBuildGeneratedFileFrom":  -9.774745,
+		".len":                             -9.774745,
+		".length":                          -9.774745,
+		".mergeFrom":                       -9.081597,
+		".nullable":                        -9.774745,
+		".read":                            -9.774745,
+		".replace":                         -9.081597,
+		".setUnfinishedMessage":            -9.774745,
+		".toString":                        -9.774745,
+		".toStringUtf":                     -9.774745,
+		".write":                           -9.774745,
+		".writeTo":                         -9.774745,
+		"//RubyModule":                     -9.774745,
+		"//XMLDocumentFilter":              -9.774745,
+		"//a":                              -9.774745,
+		"//cleanup":                        -9.774745,
+		":":                                -5.355904,
+		";":                                -2.422304,
+		"<":                                -6.683702,
+		"</a>":                             -9.774745,
+		"</code>":                          -6.730222,
+		"</i>":                             -9.081597,
+		"</tt>":                            -6.555869,
+		"<<":                               -9.081597,
+		"<?>":                              -8.388450,
+		"<BookStoreRecord,>":               -9.774745,
+		"<BookStoreRecord>":                -7.828835,
+		"<Builder>":                        -9.774745,
+		"<ComputerListener>":               -9.081597,
+		"<Index>":                          -9.081597,
+		"<ItemListener>":                   -9.081597,
+		"<K,>":                             -9.081597,
+		"<K,V>":                            -9.774745,
+		"<O,>":                             -9.774745,
+		"<O>":                              -9.081597,
+		"<Object>":                         -9.081597,
+		"<Person>":                         -8.676132,
+		"<PullRequest,>":                   -9.774745,
+		"<PullRequest>":                    -8.676132,
+		"<RuntimeException>":               -9.774745,
+		"<Slave>":                          -9.081597,
+		"<String,>":                        -8.388450,
+		"<String>":                         -9.774745,
+		"<T>":                              -9.774745,
+		"<V>":                              -9.774745,
+		"<_Fields,>":                       -8.676132,
+		"<a>":                              -9.774745,
+		"<attr>":                           -9.081597,
+		"<choice>":                         -9.774745,
+		"<code>":                           -6.730222,
+		"<expression>":                     -9.774745,
+		"<i>":                              -9.081597,
+		"<literal>":                        -9.081597,
+		"<modifier>":                       -9.774745,
+		"<p>":                              -8.388450,
+		"<pat@polycrystal.org>":            -9.774745,
+		"<predicate>":                      -8.388450,
+		"<quantified>":                     -9.774745,
+		"<quantifier>":                     -9.774745,
+		"<rule>":                           -9.774745,
+		"<sequence>":                       -9.774745,
+		"<tt>":                             -6.555869,
+		"<yokolet@gmail.com>":              -9.081597,
+		"=":                                -3.017812,
+		">":                                -7.002156,
+		"?":                                -7.828835,
+		"@CLIResolver":                     -9.774745,
+		"@Generated":                       -9.774745,
+		"@Override":                        -6.639250,
+		"@SuppressWarnings":                -8.388450,
+		"@java.lang.Override":              -8.388450,
+		"ADMINISTER":                       -9.774745,
+		"ARRAY":                            -8.676132,
+		"ASTNode":                          -9.774745,
+		"ArrayIndexOutOfBoundsException":   -9.774745,
+		"ArrayList":                        -9.774745,
+		"Arrays.":                          -9.081597,
+		"Augmentations":                    -9.081597,
+		"BIG":                              -9.081597,
+		"BNF_ATTR":                         -9.081597,
+		"BNF_ATTRS":                        -9.081597,
+		"BNF_ATTR_PATTERN":                 -9.081597,
+		"BNF_ATTR_VALUE":                   -9.081597,
+		"BNF_CHOICE":                       -8.676132,
+		"BNF_EXPRESSION":                   -8.676132,
+		"BNF_ID":                           -7.982985,
+		"BNF_LEFT_BRACE":                   -8.388450,
+		"BNF_LEFT_BRACKET":                 -9.774745,
+		"BNF_LEFT_PAREN":                   -8.388450,
+		"BNF_LITERAL_EXPRESSION":           -8.388450,
+		"BNF_MODIFIER":                     -9.081597,
+		"BNF_NUMBER":                       -8.676132,
+		"BNF_OP_AND":                       -8.676132,
+		"BNF_OP_EQ":                        -9.081597,
+		"BNF_OP_IS":                        -9.081597,
+		"BNF_OP_NOT":                       -8.676132,
+		"BNF_OP_ONEMORE":                   -9.774745,
+		"BNF_OP_OPT":                       -9.774745,
+		"BNF_OP_OR":                        -8.676132,
+		"BNF_OP_ZEROMORE":                  -9.774745,
+		"BNF_PAREN_EXPRESSION":             -8.676132,
+		"BNF_PREDICATE":                    -8.676132,
+		"BNF_PREDICATE_SIGN":               -9.081597,
+		"BNF_QUANTIFIED":                   -8.676132,
+		"BNF_QUANTIFIER":                   -9.081597,
+		"BNF_REFERENCE_OR_TOKEN":           -8.676132,
+		"BNF_RIGHT_BRACE":                  -8.676132,
+		"BNF_RIGHT_BRACKET":                -9.774745,
+		"BNF_RIGHT_PAREN":                  -9.081597,
+		"BNF_RULE":                         -9.081597,
+		"BNF_SEMICOLON":                    -9.081597,
+		"BNF_SEQUENCE":                     -8.676132,
+		"BNF_STRING":                       -8.165307,
+		"BNF_STRING_LITERAL_EXPRESSION":    -8.388450,
+		"BOOK_STORE":                       -8.388450,
+		"BOOLEAN":                          -7.982985,
+		"BOOLEAN_TYPE":                     -8.676132,
+		"BYTE":                             -7.982985,
+		"BYTE_TYPE":                        -8.676132,
+		"BasicLibraryService":              -9.774745,
+		"BigInt":                           -9.774745,
+		"BigInteger":                       -9.774745,
+		"BitSet":                           -8.676132,
+		"BookStore":                        -6.941531,
+		"BookStoreRecord":                  -9.774745,
+		"BookStoreRecord.class":            -9.774745,
+		"Boolean.TYPE":                     -9.081597,
+		"Boolean.valueOf":                  -9.774745,
+		"Builder":                          -6.779012,
+		"Builder.create":                   -9.774745,
+		"Byte.TYPE":                        -9.081597,
+		"CHAR":                             -7.982985,
+		"CHAR_TYPE":                        -8.676132,
+		"CharSequence":                     -8.388450,
+		"Character.TYPE":                   -9.081597,
+		"Class":                            -7.209795,
+		"ClassNotFoundException":           -9.774745,
+		"CloneNotSupportedException":       -6.639250,
+		"Cloneable":                        -9.774745,
+		"CloudList":                        -8.676132,
+		"Collections.synchronizedMap":      -9.774745,
+		"Collections.unmodifiableMap":      -9.774745,
+		"Comparable":                       -9.081597,
+		"ComputerListener.class":           -9.774745,
+		"ConcurrentHashMap":                -9.774745,
+		"Constructor":                      -9.774745,
+		"CopyOnWriteList":                  -8.388450,
+		"DOMParser":                        -9.774745,
+		"DOUBLE":                           -7.828835,
+		"DOUBLE_TYPE":                      -8.676132,
+		"DSL.comment":                      -9.774745,
+		"DSL.name":                         -8.165307,
+		"DefaultFilter":                    -9.081597,
+		"Document":                         -9.081597,
+		"Double.TYPE":                      -9.081597,
+		"EMPTY_BUFFER":                     -9.774745,
+		"ENCODING_HANDLER_ALLOCATOR":       -9.081597,
+		"EXTENDS_SETS_":                    -9.081597,
+		"ElementValidityCheckFilter":       -8.676132,
+		"EncodingHandler":                  -9.774745,
+		"EncodingHandler.class":            -9.774745,
+		"EnumMap":                          -9.774745,
+		"EnumSet.allOf":                    -9.774745,
+		"Error":                            -9.774745,
+		"Exception":                        -9.774745,
+		"ExtensionListView.createCopyOnWriteList": -9.081597,
+		"FLOAT":                              -7.982985,
+		"FLOAT_TYPE":                         -8.676132,
+		"Field":                              -9.774745,
+		"FieldMetaData":                      -8.676132,
+		"File":                               -9.081597,
+		"FlexLexer":                          -9.774745,
+		"Float.TYPE":                         -9.081597,
+		"ForeignKey":                         -9.774745,
+		"Functions.toEmailSafeString":        -9.081597,
+		"GrammarParser":                      -9.774745,
+		"HTMLConfiguration":                  -9.774745,
+		"HTML_DOCUMENT_ALLOCATOR":            -9.081597,
+		"HTML_ELEMENT_DESCRIPTION_ALLOCATOR": -9.081597,
+		"HTML_ENTITY_LOOKUP_ALLOCATOR":       -9.081597,
+		"HTML_SAXPARSER_CONTEXT_ALLOCATOR":   -9.081597,
+		"HashMap":                            -8.676132,
+		"HtmlDocument":                       -7.828835,
+		"HtmlDocument.class":                 -9.774745,
+		"HtmlDomParserContext":               -8.676132,
+		"HtmlElementDescription":             -9.774745,
+		"HtmlElementDescription.class":       -9.774745,
+		"HtmlEntityLookup":                   -9.774745,
+		"HtmlEntityLookup.class":             -9.774745,
+		"HtmlSaxParserContext":               -8.165307,
+		"HtmlSaxParserContext.class":         -9.774745,
+		"Hudson":                             -8.165307,
+		"Hudson.class":                       -9.774745,
+		"IElementType":                       -8.388450,
+		"IHashEq":                            -9.081597,
+		"INT":                                -7.982985,
+		"INT_TYPE":                           -8.676132,
+		"IOException":                        -7.982985,
+		"IPersistentCollection":              -8.165307,
+		"IRubyObject":                        -6.219397,
+		"IScheme":                            -9.081597,
+		"ISeq":                               -9.081597,
+		"IllegalArgumentException":           -9.081597,
+		"IllegalStateException":              -9.081597,
+		"Indexes":                            -9.774745,
+		"Indexes.PRIMARY_KEY_F":              -9.774745,
+		"Integer":                            -9.081597,
+		"Integer.TYPE":                       -9.081597,
+		"InterruptedException":               -9.081597,
+		"ItemListener.class":                 -9.774745,
+		"Jenkins":                            -9.081597,
+		"Jenkins.CloudList":                  -9.774745,
+		"Jenkins.MasterComputer":             -9.774745,
+		"Jenkins.getInstance":                -9.081597,
+		"Keys":                               -9.774745,
+		"Keys.UK_T_BOOK_STORE_NAME":          -9.081597,
+		"LONG":                               -7.828835,
+		"LONG_TYPE":                          -8.676132,
+		"LightPsiParser":                     -9.774745,
+		"List":                               -7.982985,
+		"Long":                               -9.774745,
+		"Map":                                -8.165307,
+		"Map.Entry":                          -9.774745,
+		"Marker":                             -6.248384,
+		"MasterComputer":                     -9.774745,
+		"MetaTag":                            -9.081597,
+		"Method":                             -8.676132,
+		"NAME":                               -9.774745,
+		"NAME_FIELD_NUMBER":                  -9.774745,
+		"Name":                               -7.982985,
+		"NamedNodeMap":                       -9.774745,
+		"NekoHtml":                           -9.774745,
+		"Node":                               -9.774745,
+		"NodeList":                           -9.081597,
+		"NokogiriErrorHandler":               -9.081597,
+		"NokogiriNonStrictErrorHandler":      -9.774745,
+		"NokogiriService":                    -9.774745,
+		"NokogiriService.HTML_DOCUMENT_ALLOCATOR.allocate": -9.774745,
+		"NokogiriStrictErrorHandler":                       -9.774745,
+		"NullPointerException":                             -8.676132,
+		"Number":                                           -7.577520,
+		"Numbers.compare":                                  -9.774745,
+		"Numbers.equal":                                    -9.774745,
+		"Numbers.hasheq":                                   -9.774745,
+		"OBJECT":                                           -8.676132,
+		"Object":                                           -6.248384,
+		"ObjectAllocator":                                  -5.680400,
+		"Opcodes.IALOAD":                                   -9.774745,
+		"Opcodes.IASTORE":                                  -9.774745,
+		"PARSER":                                           -9.081597,
+		"PARSER.parseDelimitedFrom":                        -9.081597,
+		"PARSER.parseFrom":                                 -7.695303,
+		"PARSER.parsePartialFrom":                          -9.774745,
+		"Parser":                                           -8.388450,
+		"Pers":                                             -9.774745,
+		"Person":                                           -7.472160,
+		"PersonOrBuilder":                                  -9.081597,
+		"PluginManager":                                    -9.774745,
+		"ProtocolBuffer":                                   -9.081597,
+		"PsiBuilder":                                       -5.882924,
+		"PsiParser":                                        -9.774745,
+		"Public":                                           -9.774745,
+		"Public.PUBLIC":                                    -9.774745,
+		"PullRequest":                                      -6.941531,
+		"PullRequest.class":                                -9.774745,
+		"PullRequestStandardScheme":                        -8.676132,
+		"PullRequestStandardSchemeFactory":                 -9.081597,
+		"PullRequestTupleScheme":                           -8.676132,
+		"PullRequestTupleSchemeFactory":                    -9.081597,
+		"QName":                                            -9.081597,
+		"ReactorException":                                 -9.081597,
+		"Record":                                           -9.774745,
+		"Reference":                                        -8.676132,
+		"ReferenceQueue":                                   -9.774745,
+		"RemoveNSAttrsFilter":                              -9.081597,
+		"Row":                                              -9.081597,
+		"Ruby":                                             -6.013545,
+		"RubyArray.newEmptyArray":                          -9.774745,
+		"RubyClass":                                        -5.252956,
+		"RubyFixnum.newFixnum":                             -7.982985,
+		"RubyModule":                                       -6.884373,
+		"RuntimeException":                                 -8.165307,
+		"SHORT":                                            -7.982985,
+		"SHORT_TYPE":                                       -8.676132,
+		"STRUCT_DESC":                                      -9.081597,
+		"Schema":                                           -9.774745,
+		"SchemeFactory":                                    -8.388450,
+		"ServletContext":                                   -9.081597,
+		"ServletException":                                 -8.676132,
+		"Short.TYPE":                                       -9.081597,
+		"Slave":                                            -8.676132,
+		"StandardScheme":                                   -9.774745,
+		"StandardScheme.class":                             -9.774745,
+		"StaplerRequest":                                   -8.676132,
+		"StaplerResponse":                                  -8.676132,
+		"String":                                           -5.767411,
+		"StringBuffer":                                     -7.135687,
+		"StringBuilder":                                    -9.081597,
+		"T":                                                -9.081597,
+		"TITLE":                                            -8.165307,
+		"TITLE_FIELD_DESC":                                 -9.081597,
+		"TRUE_CONDITION":                                   -9.774745,
+		"TTupleProtocol":                                   -8.388450,
+		"Table":                                            -8.676132,
+		"TableField":                                       -9.774745,
+		"TableImpl":                                        -9.774745,
+		"ThreadContext":                                    -9.081597,
+		"Throwable":                                        -8.388450,
+		"TokenSet":                                         -9.081597,
+		"TopLevelItem":                                     -8.676132,
+		"TupleScheme":                                      -9.774745,
+		"TupleScheme.class":                                -9.774745,
+		"Type":                                             -6.037075,
+		"Type.ARRAY":                                       -9.081597,
+		"Type.OBJECT":                                      -9.081597,
+		"UniqueKey":                                        -8.676132,
+		"Util":                                             -9.774745,
+		"Util.":                                            -9.774745,
+		"V":                                                -9.081597,
+		"VOID":                                             -8.165307,
+		"VOID_TYPE":                                        -8.676132,
+		"Void.TYPE":                                        -8.676132,
+		"XMLAttributes":                                    -9.081597,
+		"XMLDocumentFilter":                                -8.676132,
+		"XMLParserConfiguration":                           -9.774745,
+		"XML_ATTRIBUTE_DECL_ALLOCATOR":                     -9.081597,
+		"XML_ATTR_ALLOCATOR":                               -9.081597,
+		"XML_CDATA_ALLOCATOR":                              -9.081597,
+		"XML_COMMENT_ALLOCATOR":                            -9.081597,
+		"XML_DOCUMENT_ALLOCATOR":                           -9.081597,
+		"XML_DOCUMENT_FRAGMENT_ALLOCATOR":                  -9.081597,
+		"XML_DTD_ALLOCATOR":                                -9.081597,
+		"XML_ELEMENT_ALLOCATOR":                            -9.081597,
+		"XML_ELEMENT_CONTENT_ALLOCATOR":                    -9.081597,
+		"XML_ELEMENT_DECL_ALLOCATOR":                       -9.081597,
+		"XML_ENTITY_DECL_ALLOCATOR":                        -9.081597,
+		"XML_ENTITY_REFERENCE_ALLOCATOR":                   -9.081597,
+		"XML_NAMESPACE_ALLOCATOR":                          -9.081597,
+		"XML_NODESET_ALLOCATOR":                            -9.081597,
+		"XML_NODE_ALLOCATOR":                               -9.081597,
+		"XML_PROCESSING_INSTRUCTION_ALLOCATOR":             -9.081597,
+		"XML_READER_ALLOCATOR":                             -9.081597,
+		"XML_RELAXNG_ALLOCATOR":                            -9.081597,
+		"XML_SAXPARSER_CONTEXT_ALLOCATOR":                  -9.081597,
+		"XML_SAXPUSHPARSER_ALLOCATOR":                      -9.081597,
+		"XML_SCHEMA_ALLOCATOR":                             -9.081597,
+		"XML_SYNTAXERROR_ALLOCATOR":                        -9.081597,
+		"XML_TEXT_ALLOCATOR":                               -9.081597,
+		"XML_XPATHCONTEXT_ALLOCATOR":                       -9.081597,
+		"XNIException":                                     -9.081597,
+		"XSLT_STYLESHEET_ALLOCATOR":                        -9.081597,
+		"XSTREAM.alias":                                    -9.774745,
+		"XmlAttr":                                          -8.165307,
+		"XmlAttr.class":                                    -9.774745,
+		"XmlAttributeDecl":                                 -9.774745,
+		"XmlAttributeDecl.class":                           -9.774745,
+		"XmlCdata":                                         -8.165307,
+		"XmlCdata.class":                                   -9.774745,
+		"XmlComment":                                       -8.165307,
+		"XmlComment.class":                                 -9.774745,
+		"XmlDocument":                                      -7.695303,
+		"XmlDocument.class":                                -9.774745,
+		"XmlDocument.rbNew":                                -9.774745,
+		"XmlDocumentFragment":                              -8.165307,
+		"XmlDocumentFragment.class":                        -9.774745,
+		"XmlDomParserContext":                              -9.774745,
+		"XmlDtd":                                           -8.165307,
+		"XmlDtd.class":                                     -9.774745,
+		"XmlElement":                                       -8.165307,
+		"XmlElement.class":                                 -9.774745,
+		"XmlElementContent.class":                          -9.774745,
+		"XmlElementDecl":                                   -8.165307,
+		"XmlElementDecl.class":                             -9.774745,
+		"XmlEntityDecl":                                    -9.774745,
+		"XmlEntityDecl.EXTERNAL_GENERAL_PARSED":            -9.774745,
+		"XmlEntityDecl.EXTERNAL_GENERAL_UNPARSED": -9.774745,
+		"XmlEntityDecl.EXTERNAL_PARAMETER":        -9.774745,
+		"XmlEntityDecl.INTERNAL_GENERAL":          -9.774745,
+		"XmlEntityDecl.INTERNAL_PARAMETER":        -9.774745,
+		"XmlEntityDecl.INTERNAL_PREDEFINED":       -9.774745,
+		"XmlEntityDecl.class":                     -9.774745,
+		"XmlEntityReference":                      -8.165307,
+		"XmlEntityReference.class":                -9.774745,
+		"XmlNamespace":                            -8.165307,
+		"XmlNamespace.class":                      -9.774745,
+		"XmlNode":                                 -8.165307,
+		"XmlNode.class":                           -9.774745,
+		"XmlNodeSet":                              -8.165307,
+		"XmlNodeSet.class":                        -9.774745,
+		"XmlProcessingInstruction":                -8.165307,
+		"XmlProcessingInstruction.class":          -9.774745,
+		"XmlReader":                               -8.165307,
+		"XmlReader.class":                         -9.774745,
+		"XmlRelaxng":                              -8.165307,
+		"XmlRelaxng.class":                        -9.774745,
+		"XmlSaxParserContext":                     -8.165307,
+		"XmlSaxParserContext.class":               -9.774745,
+		"XmlSaxPushParser":                        -9.774745,
+		"XmlSaxPushParser.class":                  -9.774745,
+		"XmlSchema":                               -8.165307,
+		"XmlSchema.class":                         -9.774745,
+		"XmlSyntaxError":                          -8.165307,
+		"XmlSyntaxError.class":                    -9.774745,
+		"XmlText":                                 -7.982985,
+		"XmlText.class":                           -9.774745,
+		"XmlXpathContext":                         -8.165307,
+		"XmlXpathContext.class":                   -9.774745,
+		"XsltStylesheet":                          -8.388450,
+		"XsltStylesheet.class":                    -9.081597,
+		"YYEOF":                                   -8.388450,
+		"YYINITIAL":                               -9.081597,
+		"ZZ_ACTION":                               -9.081597,
+		"ZZ_ACTION_PACKED_":                       -9.081597,
+		"ZZ_ATTRIBUTE":                            -9.081597,
+		"ZZ_ATTRIBUTE_PACKED_":                    -9.081597,
+		"ZZ_BUFFERSIZE":                           -9.774745,
+		"ZZ_CMAP":                                 -9.081597,
+		"ZZ_CMAP_PACKED":                          -9.081597,
+		"ZZ_ERROR_MSG":                            -8.676132,
+		"ZZ_LEXSTATE":                             -9.081597,
+		"ZZ_NO_MATCH":                             -9.081597,
+		"ZZ_PUSHBACK_":                            -9.081597,
+		"ZZ_ROWMAP":                               -9.081597,
+		"ZZ_ROWMAP_PACKED_":                       -9.081597,
+		"ZZ_TRANS":                                -9.081597,
+		"ZZ_TRANS_PACKED_":                        -9.081597,
+		"ZZ_UNKNOWN_ERROR":                        -9.081597,
+		"[":                                       -5.111306,
+		"\\":                                      -7.376849,
+		"]":                                       -5.111306,
+		"^":                                       -9.774745,
+		"_":                                       -5.990555,
+		"_COLLAPSE_":                              -7.982985,
+		"_Fields":                                 -7.135687,
+		"_Fields.TITLE":                           -9.774745,
+		"_Fields.class":                           -9.081597,
+		"_Fields.findByThriftId":                  -9.774745,
+		"_GrammarLexer":                           -8.676132,
+		"_NONE_":                                  -7.472160,
+		"_NOT_":                                   -8.388450,
+		"_fieldName":                              -8.676132,
+		"_thriftId":                               -8.676132,
+		"adapt_builder_":                          -9.774745,
+		"advance":                                 -9.774745,
+		"alias":                                   -7.289838,
+		"aliased":                                 -8.388450,
+		"allocate":                                -6.373547,
+		"any":                                     -9.774745,
+		"apache":                                  -8.676132,
+		"args":                                    -7.982985,
+		"argumentTypes":                           -9.081597,
+		"argumentTypes.length":                    -9.774745,
+		"as":                                      -9.081597,
+		"asList":                                  -9.081597,
+		"assigner":                                -9.774745,
+		"attr":                                    -8.388450,
+		"attr.defineAnnotatedMethods":             -9.774745,
+		"attrDecl":                                -9.774745,
+		"attrDecl.defineAnnotatedMethods":         -9.774745,
+		"attr_":                                   -8.388450,
+		"attr_pattern":                            -8.676132,
+		"attr_recover_until":                      -9.081597,
+		"attr_recover_until_parser_":              -9.081597,
+		"attr_value":                              -8.676132,
+		"attr_value_":                             -8.388450,
+		"attrs":                                   -7.695303,
+		"attrs.getLength":                         -9.774745,
+		"attrs.getQName":                          -9.774745,
+		"attrs.removeAttributeAt":                 -9.774745,
+		"attrs_":                                  -9.081597,
+		"augs":                                    -8.388450,
+		"b":                                       -4.019002,
+		"b.append":                                -9.774745,
+		"b.getTreeBuilt":                          -9.774745,
+		"b.toString":                              -9.774745,
+		"basicLoad":                               -9.774745,
+		"bitField":                                -7.066694,
+		"boolean":                                 -4.946431,
+		"boost":                                   -9.774745,
+		"break":                                   -6.373547,
+		"bs":                                      -9.774745,
+		"bs.isValidUtf":                           -9.774745,
+		"bs.toStringUtf":                          -9.774745,
+		"buf":                                     -6.013545,
+		"buf.append":                              -6.730222,
+		"buf.toString":                            -8.388450,
+		"buffer":                                  -8.676132,
+		"build":                                   -9.774745,
+		"buildPartial":                            -8.676132,
+		"builder":                                 -8.388450,
+		"builder.getUnknownFields":                -9.774745,
+		"byName":                                  -9.774745,
+		"byName.get":                              -9.774745,
+		"byName.put":                              -9.774745,
+		"byte":                                    -8.388450,
+		"c":                                       -5.946103,
+		"c.dom.Document":                          -9.774745,
+		"c.dom.NamedNodeMap":                      -9.774745,
+		"c.dom.NodeList":                          -9.774745,
+		"c.getName":                               -9.774745,
+		"c.getParameterTypes":                     -9.774745,
+		"c.isPrimitive":                           -9.081597,
+		"cache":                                   -9.774745,
+		"cache.entrySet":                          -9.774745,
+		"cache.remove":                            -9.774745,
+		"car":                                     -6.884373,
+		"case":                                    -5.631610,
+		"catch":                                   -6.407449,
+		"cdata":                                   -9.774745,
+		"cdata.defineAnnotatedMethods":            -9.774745,
+		"char":                                    -6.596691,
+		"characterData":                           -8.676132,
+		"charset":                                 -9.081597,
+		"child":                                   -9.081597,
+		"choice":                                  -8.676132,
+		"choice_":                                 -7.472160,
+		"choice_tail":                             -9.081597,
+		"class":                                   -6.779012,
+		"classOf":                                 -9.774745,
+		"classes":                                 -9.081597,
+		"classes.length":                          -9.081597,
+		"clear":                                   -9.081597,
+		"clearCache":                              -9.774745,
+		"clearName":                               -9.774745,
+		"clojure.asm":                             -9.774745,
+		"clojure.lang":                            -9.774745,
+		"clone":                                   -5.924597,
+		"clone.setMetaClass":                      -6.639250,
+		"com.google.protobuf.AbstractParser":      -9.774745,
+		"com.google.protobuf.ByteString":          -7.209795,
+		"com.google.protobuf.ByteString.copyFromUtf":                      -9.081597,
+		"com.google.protobuf.CodedInputStream":                            -8.165307,
+		"com.google.protobuf.CodedOutputStream":                           -9.081597,
+		"com.google.protobuf.Descriptors.Descriptor":                      -8.388450,
+		"com.google.protobuf.Descriptors.FileDescriptor":                  -8.388450,
+		"com.google.protobuf.ExtensionRegistry":                           -9.774745,
+		"com.google.protobuf.ExtensionRegistryLite":                       -7.695303,
+		"com.google.protobuf.GeneratedMessage":                            -9.774745,
+		"com.google.protobuf.GeneratedMessage.Builder":                    -9.081597,
+		"com.google.protobuf.GeneratedMessage.BuilderParent":              -9.081597,
+		"com.google.protobuf.GeneratedMessage.FieldAccessorTable":         -8.676132,
+		"com.google.protobuf.GeneratedMessage.alwaysUseFieldBuilders":     -9.774745,
+		"com.google.protobuf.InvalidProtocolBufferException":              -7.577520,
+		"com.google.protobuf.Message":                                     -9.774745,
+		"com.google.protobuf.MessageOrBuilder":                            -9.774745,
+		"com.google.protobuf.Parser":                                      -9.081597,
+		"com.google.protobuf.UnknownFieldSet":                             -9.081597,
+		"com.google.protobuf.UnknownFieldSet.Builder":                     -9.774745,
+		"com.google.protobuf.UnknownFieldSet.getDefaultInstance":          -9.774745,
+		"com.google.protobuf.UnknownFieldSet.newBuilder":                  -9.774745,
+		"com.intellij.lang.ASTNode":                                       -9.774745,
+		"com.intellij.lang.LightPsiParser":                                -9.774745,
+		"com.intellij.lang.PsiBuilder":                                    -9.774745,
+		"com.intellij.lang.PsiBuilder.Marker":                             -9.774745,
+		"com.intellij.lang.PsiParser":                                     -9.774745,
+		"com.intellij.lexer.*":                                            -9.774745,
+		"com.intellij.psi.TokenType.BAD_CHARACTER":                        -9.774745,
+		"com.intellij.psi.TokenType.WHITE_SPACE":                          -9.774745,
+		"com.intellij.psi.tree.IElementType":                              -9.081597,
+		"com.intellij.psi.tree.TokenSet":                                  -9.774745,
+		"com.intellij.util.text.CharArrayUtil.fromSequenceWithoutCopying": -9.774745,
+		"comment":                        -9.774745,
+		"comment.defineAnnotatedMethods": -9.774745,
+		"compare":                        -9.774745,
+		"compareTo":                      -9.774745,
+		"computerListeners":              -9.081597,
+		"config":                         -9.081597,
+		"config.setErrorHandler":         -9.774745,
+		"consumeToken":                   -6.219397,
+		"context":                        -7.695303,
+		"context.getRuntime":             -8.676132,
+		"count":                          -7.695303,
+		"create":                         -9.081597,
+		"createDocuments":                -9.081597,
+		"createField":                    -9.774745,
+		"createHtmlModule":               -9.081597,
+		"createNokogiriClassCahce":       -9.081597,
+		"createNokogiriModule":           -9.081597,
+		"createSaxModule":                -9.081597,
+		"createSyntaxErrors":             -9.081597,
+		"createXmlModule":                -9.081597,
+		"createXsltModule":               -9.081597,
+		"create_token_set_":              -9.081597,
+		"current_position_":              -7.135687,
+		"d":                              -7.472160,
+		"d.getComponentType":             -9.774745,
+		"d.getName":                      -9.774745,
+		"d.isArray":                      -9.774745,
+		"d.isPrimitive":                  -9.774745,
+		"data":                           -7.695303,
+		"date":                           -9.774745,
+		"dead":                           -9.774745,
+		"deepCopy":                       -9.774745,
+		"default":                        -7.577520,
+		"defaultInstance":                -8.388450,
+		"defaultInstance.initFields":     -9.774745,
+		"descriptor":                     -9.081597,
+		"descriptorData":                 -9.081597,
+		"detected_encoding":              -9.081597,
+		"detected_encoding.isNil":        -9.774745,
+		"do":                             -8.388450,
+		"doFieldCheck":                   -9.081597,
+		"doLogRss":                       -9.774745,
+		"doQuietDown":                    -9.081597,
+		"document":                       -8.165307,
+		"document.getDocumentElement":    -9.081597,
+		"documentFragment":               -9.774745,
+		"documentFragment.defineAnnotatedMethods": -9.774745,
+		"done":                                  -8.388450,
+		"double":                                -8.388450,
+		"dtd":                                   -9.774745,
+		"dtd.defineAnnotatedMethods":            -9.774745,
+		"e":                                     -6.340757,
+		"e.getKey":                              -9.774745,
+		"e.getMessage":                          -9.774745,
+		"e.getUnfinishedMessage":                -9.774745,
+		"e.getValue":                            -9.774745,
+		"e.setUnfinishedMessage":                -9.774745,
+		"element":                               -8.676132,
+		"element.defineAnnotatedMethods":        -9.774745,
+		"element.uri":                           -9.774745,
+		"elementContent":                        -9.774745,
+		"elementContent.defineAnnotatedMethods": -9.774745,
+		"elementDecl":                           -9.774745,
+		"elementDecl.defineAnnotatedMethods":    -9.774745,
+		"elementValidityCheckFilter":            -8.676132,
+		"element_names":                         -8.676132,
+		"else":                                  -5.767411,
+		"empty_element_parsed_guard_":           -7.828835,
+		"enableDocumentFragment":                -9.774745,
+		"encHandler":                            -9.774745,
+		"encHandler.defineAnnotatedMethods":     -9.774745,
+		"encoding":                              -9.081597,
+		"end":                                   -7.982985,
+		"enter_section_":                        -6.248384,
+		"entityDecl":                            -9.774745,
+		"entityDecl.defineAnnotatedMethods":     -9.774745,
+		"entityDecl.defineConstant":             -7.982985,
+		"entref":                                -9.774745,
+		"entref.defineAnnotatedMethods":         -9.774745,
+		"entries":                               -9.774745,
+		"enum":                                  -9.774745,
+		"eof":                                   -9.081597,
+		"equals":                                -7.982985,
+		"equiv":                                 -6.941531,
+		"errorCode":                             -9.081597,
+		"errorHandler":                          -7.982985,
+		"errorHandler.getErrors":                -9.774745,
+		"exit_section_":                         -6.248384,
+		"expression":                            -7.828835,
+		"extends":                               -7.002156,
+		"extensionRegistry":                     -7.002156,
+		"false":                                 -5.320397,
+		"field":                                 -7.577520,
+		"field.getFieldName":                    -9.774745,
+		"fieldForId":                            -9.774745,
+		"fieldId":                               -7.828835,
+		"fieldName":                             -9.081597,
+		"fields":                                -8.676132,
+		"fieldsRow":                             -9.774745,
+		"filters":                               -8.676132,
+		"final":                                 -5.012571,
+		"finally":                               -9.081597,
+		"findByName":                            -9.774745,
+		"findByThriftId":                        -9.081597,
+		"findByThriftIdOrThrow":                 -9.774745,
+		"first":                                 -9.081597,
+		"fixEmpty":                              -8.388450,
+		"for":                                   -7.002156,
+		"from_bitField":                         -9.081597,
+		"getArgumentTypes":                      -9.081597,
+		"getClass":                              -9.081597,
+		"getClassName":                          -9.774745,
+		"getComputerListeners":                  -9.774745,
+		"getConstructorDescriptor":              -9.774745,
+		"getDefaultInstance":                    -9.081597,
+		"getDefaultInstanceForType":             -9.081597,
+		"getDescriptor":                         -7.135687,
+		"getDescriptorForType":                  -9.774745,
+		"getDimensions":                         -8.676132,
+		"getElementType":                        -9.081597,
+		"getFieldName":                          -9.774745,
+		"getFieldValue":                         -9.774745,
+		"getIndexes":                            -9.774745,
+		"getInstance":                           -9.774745,
+		"getInternalName":                       -9.081597,
+		"getItem":                               -9.774745,
+		"getItems":                              -9.774745,
+		"getJob":                                -9.774745,
+		"getJobCaseInsensitive":                 -9.774745,
+		"getJobListeners":                       -9.774745,
+		"getKeys":                               -9.774745,
+		"getMethodDescriptor":                   -9.081597,
+		"getName":                               -8.676132,
+		"getNameBytes":                          -8.165307,
+		"getNewEmptyDocument":                   -9.774745,
+		"getNode":                               -9.774745,
+		"getNokogiriClass":                      -9.774745,
+		"getObjectType":                         -9.774745,
+		"getOpcode":                             -9.774745,
+		"getParserForType":                      -9.774745,
+		"getPrimaryKey":                         -9.774745,
+		"getRecordType":                         -9.774745,
+		"getReturnType":                         -9.081597,
+		"getSchema":                             -9.774745,
+		"getScheme":                             -9.081597,
+		"getSerializedSize":                     -9.081597,
+		"getSize":                               -9.774745,
+		"getSlave":                              -9.774745,
+		"getSlaves":                             -9.774745,
+		"getSort":                               -9.774745,
+		"getThriftFieldId":                      -9.774745,
+		"getTitle":                              -9.081597,
+		"getTokenEnd":                           -9.774745,
+		"getTokenStart":                         -9.081597,
+		"getType":                               -7.472160,
+		"getUnknownFields":                      -8.676132,
+		"grammar":                               -9.081597,
+		"grammar_":                              -9.081597,
+		"h":                                     -9.081597,
+		"hasName":                               -8.165307,
+		"hash":                                  -8.676132,
+		"hashCode":                              -9.081597,
+		"hashCombine":                           -9.774745,
+		"hasheq":                                -9.774745,
+		"hc":                                    -8.388450,
+		"headers":                               -9.774745,
+		"headers.getLength":                     -9.774745,
+		"headers.item":                          -9.081597,
+		"high":                                  -9.081597,
+		"href=":                                 -9.774745,
+		"html.defineOrGetClassUnder":            -9.774745,
+		"htmlDoc":                               -9.774745,
+		"htmlDocument":                          -7.982985,
+		"htmlDocument.clone":                    -9.774745,
+		"htmlDocument.defineAnnotatedMethods":   -9.774745,
+		"htmlDocument.setDocumentNode":          -9.774745,
+		"htmlDocument.setEncoding":              -9.774745,
+		"htmlDocument.setParsedEncoding":        -9.774745,
+		"htmlElemDesc":                          -9.774745,
+		"htmlElemDesc.defineAnnotatedMethods":   -9.774745,
+		"htmlEntityLookup":                      -9.774745,
+		"htmlEntityLookup.defineAnnotatedMethods": -9.774745,
+		"htmlModule":                                  -8.165307,
+		"htmlModule.defineClassUnder":                 -8.676132,
+		"htmlModule.defineModuleUnder":                -9.774745,
+		"htmlSaxModule":                               -8.676132,
+		"htmlSaxModule.defineClassUnder":              -9.774745,
+		"htmlSaxParserContext":                        -8.388450,
+		"htmlSaxParserContext.clone":                  -9.774745,
+		"htmlSaxParserContext.defineAnnotatedMethods": -9.774745,
+		"hudson.ExtensionListView":                    -9.774745,
+		"hudson.Functions":                            -9.774745,
+		"hudson.Platform":                             -9.774745,
+		"hudson.PluginManager":                        -9.774745,
+		"hudson.Util.fixEmpty":                        -9.774745,
+		"hudson.cli.declarative.CLIResolver":          -9.774745,
+		"hudson.model":                                -9.774745,
+		"hudson.model.listeners.ItemListener":         -9.774745,
+		"hudson.slaves.ComputerListener":              -9.774745,
+		"hudson.util.CopyOnWriteList":                 -9.774745,
+		"hudson.util.FormValidation":                  -9.774745,
+		"i":                                           -5.470680,
+		"identical":                                   -9.774745,
+		"if":                                          -4.319424,
+		"implements":                                  -7.577520,
+		"import":                                      -4.978954,
+		"in":                                          -8.388450,
+		"incoming":                                    -9.774745,
+		"incoming.get":                                -9.774745,
+		"index":                                       -8.388450,
+		"init":                                        -9.081597,
+		"initErrorHandler":                            -9.774745,
+		"initFields":                                  -9.081597,
+		"initParser":                                  -9.774745,
+		"initialState":                                -9.081597,
+		"input":                                       -6.884373,
+		"input.readBytes":                             -9.774745,
+		"input.readTag":                               -9.774745,
+		"instanceof":                                  -6.779012,
+		"int":                                         -4.422887,
+		"interface":                                   -9.774745,
+		"internalGetFieldAccessorTable":               -9.081597,
+		"internal_static_persons_Person_descriptor":         -9.774745,
+		"internal_static_persons_Person_fieldAccessorTable": -9.774745,
+		"iprot":                                  -7.982985,
+		"iprot.getScheme":                        -9.774745,
+		"iprot.readBitSet":                       -9.774745,
+		"iprot.readFieldBegin":                   -9.774745,
+		"iprot.readFieldEnd":                     -9.774745,
+		"iprot.readString":                       -9.081597,
+		"iprot.readStructBegin":                  -9.774745,
+		"iprot.readStructEnd":                    -9.774745,
+		"isAdmin":                                -8.676132,
+		"isInitialized":                          -8.165307,
+		"isInteger":                              -9.774745,
+		"isNamespace":                            -9.774745,
+		"isPrimitive":                            -9.774745,
+		"isSet":                                  -9.774745,
+		"isSetTitle":                             -8.165307,
+		"isValid":                                -9.081597,
+		"item":                                   -9.081597,
+		"item.getName":                           -9.774745,
+		"itemListeners":                          -9.081597,
+		"j":                                      -6.683702,
+		"j.Logger":                               -9.774745,
+		"j.LoggerFactory":                        -9.774745,
+		"java.io.File":                           -9.774745,
+		"java.io.IOException":                    -7.002156,
+		"java.io.InputStream":                    -8.388450,
+		"java.io.ObjectInputStream":              -9.774745,
+		"java.io.ObjectOutputStream":             -9.774745,
+		"java.io.ObjectStreamException":          -9.774745,
+		"java.io.Reader":                         -8.676132,
+		"java.io.Serializable":                   -9.774745,
+		"java.lang.Object":                       -7.828835,
+		"java.lang.String":                       -7.135687,
+		"java.lang.ref.Reference":                -9.774745,
+		"java.lang.ref.ReferenceQueue":           -9.774745,
+		"java.lang.ref.SoftReference":            -9.774745,
+		"java.lang.reflect.Constructor":          -9.774745,
+		"java.lang.reflect.Method":               -9.774745,
+		"java.math.BigInteger":                   -9.774745,
+		"java.nio.ByteBuffer":                    -9.774745,
+		"java.text.NumberFormat":                 -9.774745,
+		"java.text.ParseException":               -9.774745,
+		"java.util.ArrayList":                    -9.774745,
+		"java.util.Arrays":                       -9.081597,
+		"java.util.BitSet":                       -9.774745,
+		"java.util.Collections":                  -8.676132,
+		"java.util.EnumMap":                      -9.774745,
+		"java.util.EnumSet":                      -9.774745,
+		"java.util.HashMap":                      -9.081597,
+		"java.util.HashSet":                      -9.774745,
+		"java.util.List":                         -8.676132,
+		"java.util.Map":                          -8.388450,
+		"java.util.Set":                          -9.774745,
+		"java.util.concurrent.ConcurrentHashMap": -9.774745,
+		"java_encoding":                          -9.081597,
+		"javax.annotation.Generated":             -9.774745,
+		"javax.servlet.ServletContext":           -9.774745,
+		"javax.servlet.ServletException":         -9.774745,
+		"jenkins.model.Jenkins":                  -9.774745,
+		"k":                                      -5.332093,
+		"key":                                    -9.081597,
+		"klazz":                                  -5.101916,
+		"l":                                      -4.491541,
+		"la":                                     -9.774745,
+		"lastComparison":                         -7.828835,
+		"len":                                    -6.596691,
+		"list":                                   -9.081597,
+		"list.add":                               -9.081597,
+		"list.getLength":                         -9.774745,
+		"list.hashCode":                          -9.774745,
+		"list.item":                              -9.081597,
+		"literal_expression":                     -8.388450,
+		"long":                                   -7.982985,
+		"m":                                      -5.540638,
+		"m.getParameterTypes":                    -9.774745,
+		"m.getReturnType":                        -9.774745,
+		"makeExtensionsImmutable":                -9.774745,
+		"map":                                    -8.676132,
+		"match":                                  -9.081597,
+		"maybeForceBuilderInitialization":        -8.676132,
+		"memoizedIsInitialized":                  -8.388450,
+		"memoizedSerializedSize":                 -8.676132,
+		"mergeFrom":                              -8.165307,
+		"message":                                -8.388450,
+		"metaDataMap":                            -8.676132,
+		"meta_data":                              -8.676132,
+		"method":                                 -9.081597,
+		"method.getParameterTypes":               -9.774745,
+		"method.getReturnType":                   -9.774745,
+		"methodDescriptor":                       -9.081597,
+		"methodDescriptor.indexOf":               -9.774745,
+		"methodDescriptor.toCharArray":           -9.081597,
+		"modifier":                               -8.388450,
+		"mutable_bitField":                       -9.774745,
+		"n":                                      -8.165307,
+		"name":                                   -6.941531,
+		"name.charAt":                            -9.774745,
+		"name.getChars":                          -9.774745,
+		"name.length":                            -9.081597,
+		"name.rawname":                           -9.081597,
+		"name_":                                  -6.884373,
+		"namespace":                              -9.774745,
+		"namespace.defineAnnotatedMethods":       -9.774745,
+		"new":                                    -4.650781,
+		"newBuilder":                             -8.165307,
+		"newBuilderForType":                      -9.081597,
+		"newState":                               -9.081597,
+		"newUninitializedMessageException":       -9.774745,
+		"nextTokenIs":                            -7.577520,
+		"nil":                                    -9.081597,
+		"noInit":                                 -9.774745,
+		"node":                                   -7.135687,
+		"node.defineAnnotatedMethods":            -9.774745,
+		"nodeMap":                                -9.774745,
+		"nodeMap.getLength":                      -9.774745,
+		"nodeMap.item":                           -9.081597,
+		"nodeSet":                                -9.774745,
+		"nodeSet.defineAnnotatedMethods":         -9.774745,
+		"nokogiri":                               -7.982985,
+		"nokogiri.HtmlDocument":                  -9.774745,
+		"nokogiri.NokogiriService":               -9.774745,
+		"nokogiri.XmlDocument":                   -9.774745,
+		"nokogiri.defineClassUnder":              -9.081597,
+		"nokogiri.defineModuleUnder":             -8.676132,
+		"nokogiri.internals":                     -9.774745,
+		"nokogiri.internals.NokogiriHelpers.getNokogiriClass": -9.774745,
+		"nokogiri.internals.NokogiriHelpers.isNamespace":      -9.774745,
+		"nokogiri.internals.NokogiriHelpers.stringOrNil":      -9.774745,
+		"nokogiriClassCache":                                  -9.081597,
+		"nokogiriClassCache.put":                              -6.516648,
+		"nokogiriClassCacheGvarName":                          -9.774745,
+		"null":                                                -4.833102,
+		"number":                                              -8.676132,
+		"o":                                                   -7.289838,
+		"o.hashCode":                                          -9.081597,
+		"off":                                                 -6.555869,
+		"offset":                                              -6.779012,
+		"on":                                                  -9.774745,
+		"onBuilt":                                             -9.774745,
+		"onChanged":                                           -8.388450,
+		"opcode":                                              -6.941531,
+		"oprot":                                               -8.388450,
+		"oprot.getScheme":                                     -9.774745,
+		"oprot.writeBitSet":                                   -9.774745,
+		"oprot.writeFieldBegin":                               -9.774745,
+		"oprot.writeFieldEnd":                                 -9.774745,
+		"oprot.writeFieldStop":                                -9.774745,
+		"oprot.writeString":                                   -9.081597,
+		"oprot.writeStructBegin":                              -9.774745,
+		"oprot.writeStructEnd":                                -9.774745,
+		"option":                                              -8.676132,
+		"optionals":                                           -9.081597,
+		"optionals.set":                                       -9.774745,
+		"options":                                             -8.388450,
+		"options.noError":                                     -9.081597,
+		"options.noWarning":                                   -9.081597,
+		"options.strict":                                      -9.774745,
+		"org":                                                 -8.676132,
+		"org.apache.thrift.EncodingUtils":                     -9.774745,
+		"org.apache.thrift.TBase":                             -9.774745,
+		"org.apache.thrift.TBaseHelper.compareTo":                        -9.774745,
+		"org.apache.thrift.TException":                                   -7.472160,
+		"org.apache.thrift.TFieldIdEnum":                                 -9.774745,
+		"org.apache.thrift.TFieldRequirementType.DEFAULT":                -9.774745,
+		"org.apache.thrift.async.AsyncMethodCallback":                    -9.774745,
+		"org.apache.thrift.meta_data.FieldMetaData":                      -9.774745,
+		"org.apache.thrift.meta_data.FieldMetaData.addStructMetaDataMap": -9.774745,
+		"org.apache.thrift.meta_data.FieldValueMetaData":                 -9.774745,
+		"org.apache.thrift.protocol.TCompactProtocol":                    -9.081597,
+		"org.apache.thrift.protocol.TField":                              -8.676132,
+		"org.apache.thrift.protocol.TProtocol":                           -7.982985,
+		"org.apache.thrift.protocol.TProtocolException":                  -9.774745,
+		"org.apache.thrift.protocol.TProtocolUtil.skip":                  -9.081597,
+		"org.apache.thrift.protocol.TStruct":                             -9.081597,
+		"org.apache.thrift.protocol.TTupleProtocol":                      -9.774745,
+		"org.apache.thrift.protocol.TType.STOP":                          -9.774745,
+		"org.apache.thrift.protocol.TType.STRING":                        -8.676132,
+		"org.apache.thrift.scheme.IScheme":                               -9.774745,
+		"org.apache.thrift.scheme.SchemeFactory":                         -9.774745,
+		"org.apache.thrift.scheme.StandardScheme":                        -9.774745,
+		"org.apache.thrift.scheme.TupleScheme":                           -9.774745,
+		"org.apache.thrift.server.AbstractNonblockingServer.*":           -9.774745,
+		"org.apache.thrift.transport.TIOStreamTransport":                 -9.081597,
+		"org.apache.xerces.parsers.DOMParser":                            -9.774745,
+		"org.apache.xerces.xni.Augmentations":                            -9.774745,
+		"org.apache.xerces.xni.QName":                                    -9.774745,
+		"org.apache.xerces.xni.XMLAttributes":                            -9.774745,
+		"org.apache.xerces.xni.XNIException":                             -9.774745,
+		"org.apache.xerces.xni.parser.XMLDocumentFilter":                 -9.774745,
+		"org.apache.xerces.xni.parser.XMLParserConfiguration":            -9.774745,
+		"org.cyberneko.html.HTMLConfiguration":                           -9.774745,
+		"org.cyberneko.html.filters.DefaultFilter":                       -9.774745,
+		"org.intellij.grammar.parser":                                    -9.774745,
+		"org.intellij.grammar.parser.GeneratedParserUtilBase.*":          -9.774745,
+		"org.intellij.grammar.psi.BnfTypes.*":                            -9.081597,
+		"org.jooq.Field":                                                 -9.774745,
+		"org.jooq.ForeignKey":                                            -9.774745,
+		"org.jooq.Index":                                                 -9.774745,
+		"org.jooq.Name":                                                  -9.774745,
+		"org.jooq.Record":                                                -9.774745,
+		"org.jooq.Row":                                                   -9.774745,
+		"org.jooq.Schema":                                                -9.774745,
+		"org.jooq.Table":                                                 -9.774745,
+		"org.jooq.TableField":                                            -9.774745,
+		"org.jooq.UniqueKey":                                             -9.774745,
+		"org.jooq.example.db.h":                                          -8.165307,
+		"org.jooq.impl.DSL":                                              -9.774745,
+		"org.jooq.impl.SQLDataType.VARCHAR":                              -9.774745,
+		"org.jooq.impl.TableImpl":                                        -9.774745,
+		"org.jruby.Ruby":                                                 -9.081597,
+		"org.jruby.RubyArray":                                            -9.774745,
+		"org.jruby.RubyClass":                                            -9.081597,
+		"org.jruby.RubyFixnum":                                           -9.774745,
+		"org.jruby.RubyModule":                                           -9.774745,
+		"org.jruby.runtime.ObjectAllocator":                              -9.774745,
+		"org.jruby.runtime.ThreadContext":                                -9.774745,
+		"org.jruby.runtime.builtin.IRubyObject":                          -9.081597,
+		"org.jruby.runtime.load.BasicLibraryService":                     -9.774745,
+		"org.jvnet.hudson.reactor.ReactorException":                      -9.774745,
+		"org.kohsuke.stapler.QueryParameter":                             -9.774745,
+		"org.kohsuke.stapler.Stapler":                                    -9.774745,
+		"org.kohsuke.stapler.StaplerRequest":                             -9.774745,
+		"org.kohsuke.stapler.StaplerResponse":                            -9.774745,
+		"org.slf":                                                        -9.081597,
+		"org.w":                                                          -8.676132,
+		"other":                                                          -7.695303,
+		"other.getClass":                                                 -9.081597,
+		"other.getUnknownFields":                                         -9.774745,
+		"other.hasName":                                                  -9.774745,
+		"other.isSetTitle":                                               -9.081597,
+		"other.name_":                                                    -9.774745,
+		"other.title":                                                    -9.081597,
+		"out":                                                            -9.081597,
+		"output":                                                         -9.081597,
+		"output.writeBytes":                                              -9.774745,
+		"p":                                                              -6.478908,
+		"package":                                                        -7.577520,
+		"packed":                                                         -8.165307,
+		"packed.charAt":                                                  -7.472160,
+		"packed.length":                                                  -8.388450,
+		"parameters":                                                     -7.982985,
+		"parameters.length":                                              -9.081597,
+		"paren_expression":                                               -8.676132,
+		"parent":                                                         -8.388450,
+		"parse":                                                          -8.676132,
+		"parseDelimitedFrom":                                             -9.081597,
+		"parseFrom":                                                      -7.695303,
+		"parseLight":                                                     -9.081597,
+		"parsePartialFrom":                                               -9.774745,
+		"parseUnknownField":                                              -9.774745,
+		"parse_root_":                                                    -9.081597,
+		"parsedMessage":                                                  -8.165307,
+		"parser":                                                         -9.774745,
+		"pcequiv":                                                        -9.081597,
+		"persons":                                                        -9.774745,
+		"persons.ProtocolBuffer.Person":                                  -6.683702,
+		"persons.ProtocolBuffer.Person.Builder.class":                    -9.081597,
+		"persons.ProtocolBuffer.Person.class":                            -9.081597,
+		"persons.ProtocolBuffer.Person.getDefaultInstance":               -9.081597,
+		"persons.ProtocolBuffer.PersonOrBuilder":                         -9.774745,
+		"persons.ProtocolBuffer.internal_static_persons_Person_descriptor":         -8.676132,
+		"persons.ProtocolBuffer.internal_static_persons_Person_fieldAccessorTable": -9.081597,
+		"pi":                                 -9.774745,
+		"pi.defineAnnotatedMethods":          -9.774745,
+		"pluginManager":                      -9.081597,
+		"pos":                                -8.676132,
+		"predicate":                          -8.676132,
+		"predicate_sign":                     -8.676132,
+		"present_title":                      -8.676132,
+		"private":                            -4.737792,
+		"prot":                               -8.388450,
+		"protected":                          -7.577520,
+		"prototype":                          -9.081597,
+		"public":                             -4.074301,
+		"qs":                                 -8.676132,
+		"quantified":                         -8.676132,
+		"quantified_":                        -7.982985,
+		"quantifier":                         -8.676132,
+		"r":                                  -4.302474,
+		"read":                               -8.388450,
+		"readObject":                         -9.774745,
+		"reader":                             -9.774745,
+		"reader.defineAnnotatedMethods":      -9.774745,
+		"recursion_guard_":                   -5.990555,
+		"ref":                                -7.002156,
+		"reference_or_token":                 -8.388450,
+		"registerAllExtensions":              -9.774745,
+		"registry":                           -9.774745,
+		"relaxng":                            -9.774745,
+		"relaxng.defineAnnotatedMethods":     -9.774745,
+		"removeNSAttrsFilter":                -9.081597,
+		"rename":                             -9.081597,
+		"report_error_":                      -7.828835,
+		"req":                                -8.388450,
+		"req.getParameter":                   -8.388450,
+		"req.getQueryString":                 -9.774745,
+		"reset":                              -9.774745,
+		"result":                             -6.555869,
+		"result.bitField":                    -9.774745,
+		"result.isInitialized":               -9.774745,
+		"result.name_":                       -9.774745,
+		"ret":                                -7.982985,
+		"return":                             -3.744059,
+		"returnType":                         -9.774745,
+		"returnType.getDescriptor":           -9.774745,
+		"root":                               -8.388450,
+		"rq":                                 -9.774745,
+		"rq.poll":                            -9.081597,
+		"rsp":                                -8.165307,
+		"rsp.sendRedirect":                   -9.774745,
+		"ruby":                               -6.555869,
+		"ruby.defineModule":                  -9.774745,
+		"ruby.getClassFromPath":              -6.516648,
+		"ruby.getObject":                     -7.209795,
+		"ruby.getStandardError":              -9.081597,
+		"ruby_encoding":                      -8.676132,
+		"ruby_encoding.isNil":                -9.774745,
+		"rule":                               -8.676132,
+		"rule_":                              -7.982985,
+		"rule_recover_until":                 -9.081597,
+		"rule_recover_until_parser_":         -9.081597,
+		"runtime":                            -5.297408,
+		"runtime.newNotImplementedError":     -9.774745,
+		"runtimeException":                   -9.081597,
+		"s":                                  -7.472160,
+		"sb":                                 -9.774745,
+		"sb.append":                          -8.388450,
+		"sb.toString":                        -9.774745,
+		"schema":                             -9.081597,
+		"schema.defineAnnotatedMethods":      -9.774745,
+		"schemeField":                        -9.081597,
+		"schemeField.id":                     -9.774745,
+		"schemeField.type":                   -8.388450,
+		"schemes":                            -9.774745,
+		"schemes.get":                        -9.081597,
+		"schemes.put":                        -9.081597,
+		"seed":                               -8.165307,
+		"sequence":                           -7.982985,
+		"serialVersionUID":                   -9.081597,
+		"setFeature":                         -8.388450,
+		"setFieldValue":                      -9.774745,
+		"setName":                            -9.774745,
+		"setNameBytes":                       -9.774745,
+		"setNodes":                           -9.774745,
+		"setProperty":                        -8.388450,
+		"setSlaves":                          -9.774745,
+		"setTitle":                           -9.081597,
+		"setTitleIsSet":                      -9.774745,
+		"short":                              -8.165307,
+		"sign":                               -9.081597,
+		"simple":                             -8.676132,
+		"simple_":                            -7.695303,
+		"size":                               -7.002156,
+		"slaves":                             -8.676132,
+		"sneakyThrow":                        -8.676132,
+		"sort":                               -6.884373,
+		"start":                              -9.081597,
+		"startElement":                       -9.081597,
+		"static":                             -4.323706,
+		"stringOrNil":                        -9.774745,
+		"string_literal_expression":          -8.676132,
+		"struct":                             -8.388450,
+		"struct.isSetTitle":                  -9.081597,
+		"struct.setTitleIsSet":               -9.081597,
+		"struct.title":                       -8.165307,
+		"struct.validate":                    -9.081597,
+		"stylesheet":                         -9.774745,
+		"stylesheet.defineAnnotatedMethods":  -9.774745,
+		"super":                              -7.577520,
+		"super.clear":                        -9.774745,
+		"super.fieldsRow":                    -9.774745,
+		"super.mergeFrom":                    -9.774745,
+		"super.startElement":                 -9.081597,
+		"super.writeReplace":                 -9.774745,
+		"switch":                             -7.289838,
+		"synchronized":                       -9.774745,
+		"syntaxError":                        -9.081597,
+		"t":                                  -6.340757,
+		"t.buf":                              -9.774745,
+		"t.len":                              -9.774745,
+		"t.off":                              -9.774745,
+		"t.sort":                             -9.774745,
+		"tables":                             -9.774745,
+		"tables.records.BookStoreRecord":     -9.774745,
+		"tag":                                -8.676132,
+		"te":                                 -8.388450,
+		"test":                               -9.774745,
+		"testee":                             -9.774745,
+		"testee.equals":                      -9.774745,
+		"testee.toCharArray":                 -9.774745,
+		"text":                               -9.081597,
+		"text.defineAnnotatedMethods":        -9.774745,
+		"that":                               -7.982985,
+		"that.isSetTitle":                    -9.774745,
+		"that.title":                         -9.774745,
+		"that_present_title":                 -8.676132,
+		"this":                               -6.373547,
+		"this.buf":                           -9.081597,
+		"this.equals":                        -9.774745,
+		"this.errorHandler":                  -9.081597,
+		"this.isSetTitle":                    -9.774745,
+		"this.len":                           -9.081597,
+		"this.mergeUnknownFields":            -9.774745,
+		"this.off":                           -9.774745,
+		"this.sort":                          -9.081597,
+		"this.title":                         -7.376849,
+		"this.title.equals":                  -9.774745,
+		"this.unknownFields":                 -8.388450,
+		"this.zzReader":                      -9.774745,
+		"this_present_title":                 -8.676132,
+		"thrift":                             -8.676132,
+		"thriftId":                           -9.081597,
+		"throw":                              -7.002156,
+		"throws":                             -6.219397,
+		"title":                              -7.982985,
+		"tmpMap":                             -9.081597,
+		"tmpMap.put":                         -9.774745,
+		"toBuilder":                          -9.774745,
+		"toString":                           -9.081597,
+		"to_bitField":                        -8.676132,
+		"transient":                          -9.081597,
+		"true":                               -5.862722,
+		"try":                                -6.442540,
+		"tryGetCharsetFromHtml":              -9.081597,
+		"typeDescriptor":                     -9.774745,
+		"typeDescriptor.toCharArray":         -9.774745,
+		"types":                              -8.676132,
+		"unknownFields":                      -8.676132,
+		"unknownFields.build":                -9.774745,
+		"unsetTitle":                         -9.081597,
+		"val":                                -8.676132,
+		"val.get":                            -9.774745,
+		"validate":                           -9.774745,
+		"value":                              -6.683702,
+		"void":                               -6.013545,
+		"while":                              -6.407449,
+		"wrapDocument":                       -9.774745,
+		"write":                              -8.388450,
+		"writeObject":                        -9.774745,
+		"writeReplace":                       -9.774745,
+		"writeTo":                            -9.774745,
+		"x":                                  -7.828835,
+		"x.getClass":                         -9.774745,
+		"xmlAttr":                            -8.676132,
+		"xmlAttr.clone":                      -9.774745,
+		"xmlCdata":                           -8.676132,
+		"xmlCdata.clone":                     -9.774745,
+		"xmlComment":                         -8.676132,
+		"xmlComment.clone":                   -9.774745,
+		"xmlDocument":                        -8.165307,
+		"xmlDocument.clone":                  -9.774745,
+		"xmlDocument.defineAnnotatedMethods": -9.774745,
+		"xmlDocumentFragment":                -8.676132,
+		"xmlDocumentFragment.clone":          -9.774745,
+		"xmlDtd":                             -8.676132,
+		"xmlDtd.clone":                       -9.774745,
+		"xmlElement":                         -8.676132,
+		"xmlElement.clone":                   -9.774745,
+		"xmlElementDecl":                     -8.676132,
+		"xmlElementDecl.clone":               -9.774745,
+		"xmlEntityRef":                       -8.676132,
+		"xmlEntityRef.clone":                 -9.774745,
+		"xmlModule":                          -7.828835,
+		"xmlModule.defineClassUnder":         -6.639250,
+		"xmlModule.defineModuleUnder":        -9.774745,
+		"xmlNamespace":                       -8.676132,
+		"xmlNamespace.clone":                 -9.774745,
+		"xmlNode":                            -8.165307,
+		"xmlNode.clone":                      -9.774745,
+		"xmlNodeSet":                         -8.165307,
+		"xmlNodeSet.clone":                   -9.774745,
+		"xmlNodeSet.setNodes":                -9.774745,
+		"xmlProcessingInstruction":           -8.676132,
+		"xmlProcessingInstruction.clone":     -9.774745,
+		"xmlReader":                          -8.165307,
+		"xmlReader.clone":                    -9.774745,
+		"xmlRelaxng":                         -8.676132,
+		"xmlRelaxng.clone":                   -9.774745,
+		"xmlSaxModule":                       -8.676132,
+		"xmlSaxModule.defineClassUnder":      -9.081597,
+		"xmlSaxParserContext":                -8.165307,
+		"xmlSaxParserContext.clone":          -9.774745,
+		"xmlSaxParserContext.defineAnnotatedMethods": -9.774745,
+		"xmlSaxPushParser":                           -9.774745,
+		"xmlSaxPushParser.defineAnnotatedMethods":    -9.774745,
+		"xmlSchema":                             -8.676132,
+		"xmlSchema.clone":                       -9.774745,
+		"xmlSyntaxError":                        -8.388450,
+		"xmlSyntaxError.clone":                  -9.774745,
+		"xmlSyntaxError.defineAnnotatedMethods": -9.774745,
+		"xmlText":                               -8.676132,
+		"xmlText.clone":                         -9.774745,
+		"xmlXpathContext":                       -8.676132,
+		"xmlXpathContext.clone":                 -9.774745,
+		"xpathContext":                          -9.774745,
+		"xpathContext.defineAnnotatedMethods":   -9.774745,
+		"xsltModule":                            -8.676132,
+		"xsltModule.defineAnnotatedMethod":      -9.774745,
+		"xsltModule.defineClassUnder":           -9.774745,
+		"xsltStylesheet":                        -8.676132,
+		"xsltStylesheet.clone":                  -9.774745,
+		"yybegin":                               -9.081597,
+		"yycharat":                              -9.774745,
+		"yylength":                              -8.676132,
+		"yypushback":                            -9.774745,
+		"yystate":                               -9.774745,
+		"yytext":                                -9.774745,
+		"zzAction":                              -7.982985,
+		"zzAtBOL":                               -9.081597,
+		"zzAtEOF":                               -8.388450,
+		"zzAttrL":                               -9.081597,
+		"zzAttributes":                          -8.676132,
+		"zzBuffer":                              -8.388450,
+		"zzBuffer.charAt":                       -9.774745,
+		"zzBuffer.subSequence":                  -9.774745,
+		"zzBufferArray":                         -8.165307,
+		"zzBufferArrayL":                        -8.165307,
+		"zzBufferL":                             -9.081597,
+		"zzBufferL.charAt":                      -9.081597,
+		"zzCMapL":                               -9.081597,
+		"zzCurrentPos":                          -7.982985,
+		"zzCurrentPosL":                         -7.472160,
+		"zzEndRead":                             -8.388450,
+		"zzEndReadL":                            -8.676132,
+		"zzForAction":                           -8.165307,
+		"zzInput":                               -7.828835,
+		"zzLexicalState":                        -8.388450,
+		"zzMarkedPos":                           -7.577520,
+		"zzMarkedPosL":                          -7.828835,
+		"zzNext":                                -8.676132,
+		"zzPushbackPos":                         -9.081597,
+		"zzReader":                              -9.774745,
+		"zzRefill":                              -9.081597,
+		"zzRowMapL":                             -9.081597,
+		"zzScanError":                           -8.676132,
+		"zzStartRead":                           -7.577520,
+		"zzState":                               -7.982985,
+		"zzTransL":                              -9.081597,
+		"zzUnpackAction":                        -8.388450,
+		"zzUnpackAttribute":                     -8.388450,
+		"zzUnpackCMap":                          -9.081597,
+		"zzUnpackRowMap":                        -8.388450,
+		"zzUnpackTrans":                         -8.388450,
+		"{":                                     -3.310156,
+		"|":                                     -7.982985,
+		"||":                                    -7.135687,
+		"}":                                     -3.307046,
+		"~":                                     -9.081597,
 	},
 	"Java Properties": map[string]float64{
 		"-":                        -3.332205,
@@ -69242,6 +72450,354 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"{":           -2.233592,
 		"}":           -2.233592,
 	},
+	"Kaitai Struct": map[string]float64{
+		"!":    -7.562681,
+		"#":    -7.562681,
+		"&":    -6.869534,
+		"(":    -5.770922,
+		")":    -5.770922,
+		"*":    -6.176387,
+		",":    -3.650658,
+		"-":    -2.310408,
+		".":    -6.869534,
+		".jar": -7.562681,
+		"/":    -7.562681,
+		"//pkware.cachefly.net/webdocs/casestudies/APPNOTE.TXT": -6.869534,
+		":":                                -1.284160,
+		"=":                                -5.365457,
+		">":                                -7.562681,
+		"ASCII":                            -5.616771,
+		"CC":                               -6.869534,
+		"CD":                               -6.464069,
+		"EPUB":                             -7.562681,
+		"For":                              -7.562681,
+		"Format":                           -7.562681,
+		"GID":                              -6.869534,
+		"Group":                            -7.562681,
+		"HSF":                              -7.562681,
+		"High":                             -7.562681,
+		"ID":                               -6.869534,
+		"ISO":                              -6.464069,
+		"Java":                             -7.562681,
+		"Katz":                             -7.562681,
+		"Office":                           -7.562681,
+		"Open":                             -7.562681,
+		"OpenDocument":                     -7.562681,
+		"PKWARE.":                          -7.562681,
+		"PKZIP":                            -7.562681,
+		"Phil":                             -7.562681,
+		"Q":                                -6.869534,
+		"ROM":                              -7.562681,
+		"ROMs":                             -7.562681,
+		"Sierra":                           -7.562681,
+		"Size":                             -6.869534,
+		"Thanks":                           -7.562681,
+		"The":                              -6.869534,
+		"UID":                              -6.869534,
+		"UTF":                              -4.729468,
+		"User":                             -7.562681,
+		"Version":                          -7.562681,
+		"XML":                              -7.562681,
+		"ZIP":                              -6.176387,
+		"[":                                -6.464069,
+		"]":                                -6.464069,
+		"_.len":                            -7.562681,
+		"_cert_id_and_signature_for_file":  -7.562681,
+		"_cert_id_for_central_dir":         -7.562681,
+		"_comp":                            -7.562681,
+		"_enc_recip_cert_list":             -7.562681,
+		"_io.eof":                          -6.869534,
+		"_root._io":                        -6.869534,
+		"_root.sector_size":                -6.464069,
+		"_uncomp":                          -7.562681,
+		"_z":                               -7.562681,
+		"a":                                -6.464069,
+		"abstract_file_id":                 -7.562681,
+		"accepted":                         -7.562681,
+		"access":                           -7.562681,
+		"access_time":                      -7.562681,
+		"actually":                         -7.562681,
+		"after":                            -7.562681,
+		"algorithms":                       -7.562681,
+		"and":                              -5.770922,
+		"application_area":                 -7.562681,
+		"application_id":                   -7.562681,
+		"archive":                          -6.869534,
+		"archives.":                        -7.562681,
+		"are":                              -7.562681,
+		"as":                               -7.562681,
+		"attribute":                        -6.869534,
+		"attribute_":                       -6.869534,
+		"attributes":                       -7.562681,
+		"av_info":                          -7.562681,
+		"availability":                     -7.562681,
+		"based":                            -7.562681,
+		"be":                               -5.770922,
+		"became":                           -7.562681,
+		"bi":                               -5.260096,
+		"bibliographic_file_id":            -7.562681,
+		"body":                             -5.953243,
+		"boot_id":                          -7.562681,
+		"boot_system_id":                   -7.562681,
+		"by":                               -6.869534,
+		"bzip":                             -7.562681,
+		"cases":                            -6.464069,
+		"central_dir_entry":                -6.869534,
+		"choice":                           -7.562681,
+		"code":                             -6.869534,
+		"comment":                          -6.869534,
+		"compression":                      -6.464069,
+		"compression_method":               -6.869534,
+		"conservative":                     -7.562681,
+		"containers.":                      -7.562681,
+		"contents":                         -5.953243,
+		"copyright_file_id":                -7.562681,
+		"crc":                              -6.464069,
+		"create_time":                      -7.562681,
+		"creation_time":                    -7.562681,
+		"currently":                        -7.562681,
+		"data_descriptor":                  -6.869534,
+		"data_preparer_id":                 -7.562681,
+		"datetime":                         -6.464069,
+		"day":                              -6.869534,
+		"dec_datetime":                     -5.953243,
+		"deflated":                         -7.562681,
+		"desktop":                          -7.562681,
+		"devices":                          -7.562681,
+		"dir_entries":                      -6.869534,
+		"dir_entry":                        -6.464069,
+		"dir_entry_body":                   -6.869534,
+		"dir_name":                         -7.562681,
+		"disc":                             -7.562681,
+		"discs":                            -7.562681,
+		"disk_number_start":                -7.562681,
+		"disk_of_central_dir":              -7.562681,
+		"disk_of_end_of_central_dir":       -7.562681,
+		"doc":                              -4.854631,
+		"domain":                           -7.562681,
+		"e":                                -5.770922,
+		"earlier":                          -7.562681,
+		"emphasizes":                       -7.562681,
+		"encoding":                         -4.384627,
+		"end_of_central_dir":               -6.869534,
+		"endian":                           -7.562681,
+		"enhanced_deflated":                -7.562681,
+		"entries":                          -6.464069,
+		"enum":                             -6.464069,
+		"enums":                            -7.562681,
+		"environments":                     -7.562681,
+		"eos":                              -5.953243,
+		"example":                          -7.562681,
+		"ext_file_attr":                    -7.562681,
+		"extended_timestamp":               -6.464069,
+		"extension":                        -6.869534,
+		"extent_as_dir":                    -7.562681,
+		"extent_as_file":                   -7.562681,
+		"extra":                            -6.464069,
+		"extra_codes":                      -6.869534,
+		"extra_field":                      -6.869534,
+		"extras":                           -6.464069,
+		"favors":                           -7.562681,
+		"fdd":                              -6.176387,
+		"features":                         -7.562681,
+		"field":                            -6.464069,
+		"file":                             -5.483240,
+		"file_flags":                       -6.464069,
+		"file_mod_date":                    -7.562681,
+		"file_mod_time":                    -7.562681,
+		"file_name":                        -6.464069,
+		"file_stream_and_fork_descriptors": -7.562681,
+		"file_structure_version":           -7.562681,
+		"file_unit_size":                   -7.562681,
+		"files":                            -6.869534,
+		"filesystem":                       -6.869534,
+		"flags":                            -6.464069,
+		"fmt/":                             -7.562681,
+		"for":                              -6.176387,
+		"format":                           -6.869534,
+		"gid":                              -7.562681,
+		"having":                           -7.562681,
+		"header":                           -7.562681,
+		"header.len_body_compressed":       -7.562681,
+		"hour":                             -6.869534,
+		"https":                            -6.869534,
+		"ibm_lz":                           -7.562681,
+		"ibm_s":                            -6.869534,
+		"ibm_terse":                        -7.562681,
+		"id":                               -2.565469,
+		"if":                               -5.365457,
+		"implemented":                      -7.562681,
+		"implementing":                     -7.562681,
+		"imploded":                         -7.562681,
+		"in":                               -5.953243,
+		"infozip_unix":                     -7.562681,
+		"infozip_unix_var_size":            -6.464069,
+		"instances":                        -6.176387,
+		"int_file_attr":                    -7.562681,
+		"interleave_gap_size":              -7.562681,
+		"introduced":                       -7.562681,
+		"io":                               -6.869534,
+		"is":                               -6.869534,
+		"iso":                              -6.464069,
+		"it":                               -6.464069,
+		"justsolve":                        -7.562681,
+		"last_access_time":                 -7.562681,
+		"last_mod_file_date":               -7.562681,
+		"last_mod_file_time":               -7.562681,
+		"last_mod_time":                    -7.562681,
+		"lba_extent":                       -6.869534,
+		"lba_extent.le":                    -6.869534,
+		"lba_opt_path_table_be":            -7.562681,
+		"lba_opt_path_table_le":            -7.562681,
+		"lba_path_table_be":                -7.562681,
+		"lba_path_table_le":                -6.869534,
+		"le":                               -6.464069,
+		"len":                              -6.464069,
+		"len_body":                         -6.176387,
+		"len_body_compressed":              -6.464069,
+		"len_body_uncompressed":            -6.464069,
+		"len_central_dir":                  -7.562681,
+		"len_comment":                      -6.176387,
+		"len_dir_name":                     -6.464069,
+		"len_ext_attr_rec":                 -6.869534,
+		"len_extra":                        -6.176387,
+		"len_file_name":                    -5.616771,
+		"len_gid":                          -6.869534,
+		"len_uid":                          -6.869534,
+		"license":                          -6.869534,
+		"loc":                              -7.562681,
+		"local_file":                       -6.869534,
+		"local_file_header":                -6.869534,
+		"local_header":                     -7.562681,
+		"logical_block_size":               -7.562681,
+		"lzma":                             -7.562681,
+		"magic":                            -6.869534,
+		"meta":                             -6.869534,
+		"minimal":                          -7.562681,
+		"minute":                           -6.869534,
+		"mod_time":                         -7.562681,
+		"month":                            -6.869534,
+		"most":                             -7.562681,
+		"mostly":                           -7.562681,
+		"names":                            -7.562681,
+		"none":                             -7.562681,
+		"not":                              -6.869534,
+		"ntfs":                             -6.464069,
+		"num_central_dir_entries_on_disk":  -7.562681,
+		"num_central_dir_entries_total":    -7.562681,
+		"of":                               -5.953243,
+		"ofs_central_dir":                  -7.562681,
+		"ofs_local_header":                 -6.869534,
+		"on":                               -5.953243,
+		"only":                             -7.562681,
+		"openvms":                          -7.562681,
+		"operating":                        -7.562681,
+		"optical":                          -7.562681,
+		"originally":                       -7.562681,
+		"os":                               -7.562681,
+		"padding":                          -6.869534,
+		"parent_dir_idx":                   -7.562681,
+		"patch_descriptor":                 -7.562681,
+		"path_table":                       -7.562681,
+		"path_table_entry_le":              -6.869534,
+		"path_table_le":                    -6.869534,
+		"path_table_size":                  -7.562681,
+		"path_table_size.le":               -7.562681,
+		"pk_section":                       -6.464069,
+		"pkcs":                             -6.869534,
+		"pkware_dcl_imploded":              -7.562681,
+		"pkware_unix":                      -7.562681,
+		"popular":                          -7.562681,
+		"portability":                      -7.562681,
+		"pos":                              -5.953243,
+		"poszip_":                          -7.562681,
+		"ppmd":                             -7.562681,
+		"pretty":                           -7.562681,
+		"primary_vol_desc":                 -7.562681,
+		"pronom":                           -7.562681,
+		"proposed":                         -7.562681,
+		"public":                           -7.562681,
+		"publisher_id":                     -7.562681,
+		"quickly":                          -7.562681,
+		"read":                             -7.562681,
+		"record_management_controls":       -7.562681,
+		"reduced_":                         -6.176387,
+		"ref":                              -5.483240,
+		"relatively":                       -7.562681,
+		"repeat":                           -5.770922,
+		"reserved":                         -7.562681,
+		"rest":                             -7.562681,
+		"revisions":                        -7.562681,
+		"root_dir":                         -7.562681,
+		"rotation":                         -7.562681,
+		"s":                                -7.562681,
+		"sec":                              -6.869534,
+		"sec_hundreds":                     -7.562681,
+		"section_type":                     -6.869534,
+		"sections":                         -7.562681,
+		"sector_size":                      -6.869534,
+		"seq":                              -4.304585,
+		"sequential":                       -7.562681,
+		"several":                          -7.562681,
+		"shrunk":                           -7.562681,
+		"size":                             -3.925095,
+		"size_extent":                      -7.562681,
+		"size_extent.le":                   -6.869534,
+		"slow":                             -7.562681,
+		"solid":                            -7.562681,
+		"specs":                            -7.562681,
+		"speed":                            -7.562681,
+		"standard":                         -6.869534,
+		"standards":                        -7.562681,
+		"str":                              -4.384627,
+		"strong_encryption_header":         -7.562681,
+		"support":                          -7.562681,
+		"switch":                           -6.464069,
+		"system_id":                        -7.562681,
+		"systems":                          -7.562681,
+		"tag":                              -6.869534,
+		"this":                             -7.562681,
+		"thus":                             -7.562681,
+		"timezone":                         -6.869534,
+		"title":                            -6.869534,
+		"to":                               -7.562681,
+		"tool":                             -7.562681,
+		"true":                             -7.562681,
+		"type":                             -2.599837,
+		"types":                            -6.176387,
+		"u":                                -3.030082,
+		"uid":                              -7.562681,
+		"until":                            -6.869534,
+		"unused":                           -6.176387,
+		"used":                             -7.562681,
+		"utility":                          -7.562681,
+		"value":                            -7.562681,
+		"version":                          -6.464069,
+		"version_made_by":                  -7.562681,
+		"version_needed_to_extract":        -7.562681,
+		"very":                             -7.562681,
+		"vol_create_datetime":              -7.562681,
+		"vol_desc":                         -6.869534,
+		"vol_desc_boot_record":             -6.464069,
+		"vol_desc_primary":                 -6.464069,
+		"vol_effective_datetime":           -7.562681,
+		"vol_expire_datetime":              -7.562681,
+		"vol_mod_datetime":                 -7.562681,
+		"vol_seq_num":                      -6.869534,
+		"vol_set_id":                       -7.562681,
+		"vol_set_size":                     -7.562681,
+		"vol_space_size":                   -7.562681,
+		"volume_id":                        -7.562681,
+		"was":                              -6.869534,
+		"which":                            -7.562681,
+		"wikidata":                         -6.869534,
+		"with":                             -7.562681,
+		"x":                                -6.464069,
+		"xref":                             -6.869534,
+		"year":                             -6.869534,
+		"zip":                              -6.464069,
+		"|":                                -6.869534,
+	},
 	"KiCad Layout": map[string]float64{
 		"\"":                                    -10.606857,
 		"$":                                     -6.063563,
@@ -71261,6 +74817,689 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"the":        -4.477337,
 		"work":       -4.477337,
 	},
+	"LabVIEW": map[string]float64{
+		"!":                     -4.711967,
+		"#":                     -7.890021,
+		"#/":                    -8.583168,
+		"#J":                    -7.890021,
+		"#R":                    -8.583168,
+		"#R*IFV":                -7.890021,
+		"#ZR":                   -7.890021,
+		"$":                     -5.692796,
+		"%":                     -5.492126,
+		"&":                     -2.507822,
+		"'":                     -8.583168,
+		"(":                     -5.149181,
+		")":                     -5.810579,
+		"*":                     -7.484556,
+		"*D":                    -7.890021,
+		"*ETT*ETT*":             -8.583168,
+		"*HO":                   -8.583168,
+		"*IKH":                  -8.583168,
+		"*NIFC":                 -8.583168,
+		"*XG":                   -7.196874,
+		"*YCK":                  -8.583168,
+		"+":                     -5.538646,
+		",":                     -5.086661,
+		"-":                     -5.086661,
+		".":                     -7.484556,
+		".DX":                   -7.890021,
+		".XR":                   -8.583168,
+		".ZGK":                  -8.583168,
+		"/":                     -6.973730,
+		"/*":                    -8.583168,
+		"/Description":          -7.890021,
+		"/ExampleProgram":       -7.890021,
+		"/FileType":             -7.196874,
+		"/Item":                 -4.798979,
+		"/Keywords":             -7.196874,
+		"/Metadata":             -7.196874,
+		"/Navigation":           -7.196874,
+		"/NiSoftware":           -7.196874,
+		"/ProgrammingLanguages": -7.196874,
+		"/RequiredSoftware":     -7.196874,
+		"/Text":                 -6.791409,
+		"/Title":                -7.196874,
+		"/Z":                    -8.583168,
+		"1":                     -6.973730,
+		"1D":                    -5.944111,
+		"2":                     -7.890021,
+		"2D":                    -6.791409,
+		"2a":                    -7.484556,
+		"2b":                    -7.484556,
+		"3":                     -6.973730,
+		"4":                     -7.484556,
+		"5":                     -7.484556,
+		":":                     -5.287331,
+		";":                     -2.471701,
+		"</Item>":               -4.999649,
+		"</Library>":            -6.973730,
+		"</Project>":            -6.973730,
+		"</Property>":           -3.929208,
+		"<?xml>":                -6.280583,
+		"<Item>":                -3.194096,
+		"<Library>":             -6.973730,
+		"<Project>":             -6.973730,
+		"<Property>":            -3.929208,
+		"=":                     -4.671145,
+		"?":                     -4.972250,
+		"@":                     -7.484556,
+		"@/":                    -7.890021,
+		"@S":                    -8.583168,
+		"@YH":                   -8.583168,
+		"A":                     -7.890021,
+		"A@PRPA":                -7.890021,
+		"AA":                    -8.583168,
+		"AF_Debug_Trace":        -8.583168,
+		"AN":                    -8.583168,
+		"API":                   -8.583168,
+		"APIs.":                 -7.890021,
+		"ASI":                   -8.583168,
+		"Acquisition":           -7.890021,
+		"Actor":                 -6.098262,
+		"Add":                   -6.280583,
+		"Addressed":             -8.583168,
+		"Alarm":                 -7.196874,
+		"Array":                 -5.215872,
+		"Available":             -7.484556,
+		"B":                     -6.973730,
+		"B/GK":                  -8.583168,
+		"Barista":               -6.791409,
+		"Basics":                -6.791409,
+		"Basics.lvproj":         -8.583168,
+		"Block":                 -7.890021,
+		"Bold":                  -7.196874,
+		"Bounds":                -7.196874,
+		"Button":                -6.503727,
+		"Bytes":                 -6.791409,
+		"C":                     -6.637258,
+		"C@":                    -8.583168,
+		"CORE":                  -6.503727,
+		"CR":                    -7.484556,
+		"Case":                  -7.196874,
+		"Case_Wrong":            -7.890021,
+		"Cashier":               -6.791409,
+		"Cell":                  -7.890021,
+		"Changed":               -7.890021,
+		"Class":                 -7.890021,
+		"Classes":               -8.583168,
+		"Cluster":               -7.196874,
+		"Code":                  -6.503727,
+		"Coffee":                -8.583168,
+		"Colors":                -7.890021,
+		"Comparable":            -6.791409,
+		"Comparison":            -7.890021,
+		"Computer":              -6.280583,
+		"Computer/":             -7.196874,
+		"Computer/VI":           -6.791409,
+		"Config":                -7.890021,
+		"Conpane":               -7.890021,
+		"ContentType":           -7.890021,
+		"Continuous":            -7.890021,
+		"Controls":              -7.890021,
+		"Core":                  -6.280583,
+		"Count":                 -7.484556,
+		"Customer":              -6.791409,
+		"D":                     -6.280583,
+		"DBL":                   -7.890021,
+		"DF":                    -8.583168,
+		"DU":                    -7.890021,
+		"DVR":                   -8.583168,
+		"DW":                    -8.583168,
+		"Data":                  -6.098262,
+		"Database":              -7.196874,
+		"Debug":                 -7.890021,
+		"Definitions":           -8.583168,
+		"Delay":                 -8.583168,
+		"Delayed":               -7.890021,
+		"Delimited":             -6.503727,
+		"Demonstrates":          -7.890021,
+		"Description":           -7.890021,
+		"Details":               -7.484556,
+		"Dialog":                -5.810579,
+		"Dispatcher":            -6.637258,
+		"Display":               -7.196874,
+		"E":                     -7.196874,
+		"Element":               -6.791409,
+		"Enable":                -7.890021,
+		"Entity":                -7.196874,
+		"Epsilon":               -7.484556,
+		"Equal":                 -7.196874,
+		"Equals":                -6.503727,
+		"Error":                 -5.692796,
+		"Errors":                -7.196874,
+		"Event":                 -7.484556,
+		"ExampleProgram":        -7.196874,
+		"Expression":            -7.484556,
+		"F":                     -7.196874,
+		"F.":                    -7.890021,
+		"FALSE":                 -8.583168,
+		"FTP":                   -8.583168,
+		"File":                  -6.503727,
+		"FileType":              -7.196874,
+		"Finder":                -7.890021,
+		"First":                 -7.890021,
+		"Flow":                  -7.890021,
+		"Found":                 -7.196874,
+		"Framework":             -6.637258,
+		"From":                  -6.791409,
+		"Front":                 -7.484556,
+		"Functor":               -5.875118,
+		"Fundamentals.lvproj":   -8.583168,
+		"Fwd":                   -7.890021,
+		"G":                     -6.637258,
+		"GC":                    -7.196874,
+		"GG":                    -8.583168,
+		"GKP":                   -8.583168,
+		"GN":                    -8.583168,
+		"GP@@NM_LD_":            -8.583168,
+		"GT@":                   -8.583168,
+		"Generator":             -7.890021,
+		"Get":                   -7.890021,
+		"HN":                    -7.890021,
+		"HO":                    -8.583168,
+		"HP":                    -7.890021,
+		"Handler":               -6.098262,
+		"Hide":                  -7.484556,
+		"I":                     -7.890021,
+		"I.Z":                   -8.583168,
+		"I64":                   -7.890021,
+		"IC":                    -8.583168,
+		"IO":                    -7.890021,
+		"IPB":                   -8.583168,
+		"IT":                    -8.583168,
+		"Ignore":                -6.791409,
+		"Increase":              -7.890021,
+		"Into":                  -7.890021,
+		"It":                    -8.583168,
+		"Item":                  -4.691348,
+		"J":                     -7.196874,
+		"J.":                    -8.583168,
+		"JE":                    -7.890021,
+		"JIPC":                  -8.583168,
+		"JISVW":                 -8.583168,
+		"JKH":                   -7.890021,
+		"JQ":                    -8.583168,
+		"JTTF":                  -7.890021,
+		"JVO":                   -7.890021,
+		"Job":                   -7.484556,
+		"K":                     -7.196874,
+		"KH":                    -7.484556,
+		"KH@":                   -7.890021,
+		"KS":                    -8.583168,
+		"Keywords":              -7.196874,
+		"L":                     -6.791409,
+		"L@_Z":                  -7.890021,
+		"LB":                    -8.583168,
+		"LH":                    -8.583168,
+		"LP":                    -7.890021,
+		"LV":                    -6.280583,
+		"LVVersion=":            -6.280583,
+		"LabVIEW":               -6.503727,
+		"Last":                  -7.890021,
+		"Length":                -7.196874,
+		"Less":                  -6.385944,
+		"Lesson":                -5.692796,
+		"Line":                  -7.196874,
+		"Lines":                 -7.890021,
+		"Locale":                -6.791409,
+		"Localize":              -8.583168,
+		"Logging":               -7.890021,
+		"M":                     -7.484556,
+		"M@":                    -8.583168,
+		"MB":                    -7.890021,
+		"MNLN":                  -8.583168,
+		"Malleable":             -5.875118,
+		"Measurement":           -7.890021,
+		"Message":               -5.810579,
+		"Messages":              -5.875118,
+		"Metadata":              -7.196874,
+		"MinVersion":            -7.196874,
+		"Monitor":               -6.503727,
+		"Monitoring":            -7.196874,
+		"Monitoring.lvproj":     -8.583168,
+		"Msg":                   -4.711967,
+		"Multiple":              -7.890021,
+		"My":                    -6.280583,
+		"N":                     -6.185273,
+		"NB@":                   -7.890021,
+		"NI":                    -8.583168,
+		"NMA":                   -8.583168,
+		"NMD":                   -8.583168,
+		"NNFC":                  -7.890021,
+		"NOS":                   -8.583168,
+		"Name":                  -6.503727,
+		"Name=":                 -2.802425,
+		"Navigation":            -7.196874,
+		"Nested":                -7.196874,
+		"NiSoftware":            -7.196874,
+		"Notification":          -7.890021,
+		"Notifier":              -7.890021,
+		"Numeric":               -8.583168,
+		"O":                     -7.890021,
+		"OKI":                   -8.583168,
+		"OKM":                   -8.583168,
+		"OPH":                   -8.583168,
+		"OUV":                   -8.583168,
+		"OV":                    -8.583168,
+		"OYVOA":                 -8.583168,
+		"Options":               -7.890021,
+		"Override":              -7.890021,
+		"P":                     -6.637258,
+		"PDH":                   -8.583168,
+		"PQ":                    -8.583168,
+		"PR":                    -7.890021,
+		"PYZLD":                 -7.890021,
+		"Panel":                 -7.484556,
+		"Pattern":               -7.196874,
+		"Person":                -8.583168,
+		"Phone":                 -7.890021,
+		"Pick":                  -7.890021,
+		"Pixels":                -7.196874,
+		"Pop":                   -7.890021,
+		"Process":               -7.890021,
+		"ProgrammingLanguages":  -7.196874,
+		"Project":               -6.503727,
+		"Push":                  -7.890021,
+		"Q":                     -6.791409,
+		"QC":                    -8.583168,
+		"QDNP":                  -8.583168,
+		"QS":                    -8.583168,
+		"QT":                    -7.484556,
+		"Q_":                    -7.890021,
+		"Queue":                 -7.484556,
+		"R":                     -6.791409,
+		"R.FOM":                 -8.583168,
+		"RJ":                    -8.583168,
+		"RO":                    -8.583168,
+		"RV":                    -7.890021,
+		"R_":                    -8.583168,
+		"Read":                  -7.890021,
+		"Rect":                  -7.196874,
+		"Regular":               -7.484556,
+		"Relative":              -7.890021,
+		"Remove":                -6.791409,
+		"Replace":               -7.196874,
+		"RequiredSoftware":      -7.196874,
+		"Resolution":            -7.890021,
+		"S":                     -8.583168,
+		"S/S":                   -7.890021,
+		"S/U_E":                 -8.583168,
+		"SFJ":                   -7.890021,
+		"SO":                    -8.583168,
+		"SVKL":                  -8.583168,
+		"SchemaVersion":         -7.890021,
+		"Seconds":               -7.890021,
+		"Self":                  -8.583168,
+		"Send":                  -6.637258,
+		"Server":                -6.791409,
+		"Set":                   -7.890021,
+		"Shop":                  -6.018219,
+		"Show":                  -7.890021,
+		"Shuffle":               -6.791409,
+		"Simulated":             -7.890021,
+		"Sorted":                -7.196874,
+		"Special":               -7.196874,
+		"Specifications":        -6.973730,
+		"Spreadsheet":           -6.503727,
+		"Stack":                 -7.196874,
+		"State":                 -7.890021,
+		"Stop":                  -7.484556,
+		"String":                -5.810579,
+		"Strings":               -7.484556,
+		"T":                     -6.637258,
+		"T.":                    -7.890021,
+		"T.UTT.UTROW":           -8.583168,
+		"TP@":                   -7.890021,
+		"TQO":                   -8.583168,
+		"TT":                    -8.583168,
+		"TVS":                   -8.583168,
+		"TWE":                   -8.583168,
+		"TX":                    -7.890021,
+		"Table":                 -7.484556,
+		"Tag":                   -7.196874,
+		"Tags":                  -7.196874,
+		"Task":                  -7.484556,
+		"Temp":                  -7.890021,
+		"Temperature":           -6.973730,
+		"Text":                  -5.587436,
+		"Than":                  -7.196874,
+		"The":                   -6.973730,
+		"This":                  -7.196874,
+		"Time":                  -7.484556,
+		"Title":                 -7.196874,
+		"Type":                  -7.196874,
+		"Type=":                 -2.787110,
+		"U":                     -6.637258,
+		"UC_WE":                 -7.890021,
+		"UI":                    -7.890021,
+		"UJ":                    -8.583168,
+		"URL=":                  -3.395782,
+		"U_":                    -7.890021,
+		"Unsorted":              -7.196874,
+		"Update":                -7.196874,
+		"User":                  -7.890021,
+		"V":                     -6.018219,
+		"VI":                    -5.944111,
+		"VIs":                   -5.405114,
+		"VIs.lvproj":            -8.583168,
+		"VLZ":                   -8.583168,
+		"VYEO":                  -8.583168,
+		"V_":                    -8.583168,
+		"Value":                 -6.791409,
+		"Versus":                -7.890021,
+		"Via":                   -7.484556,
+		"W":                     -8.583168,
+		"W#":                    -7.890021,
+		"W.":                    -8.583168,
+		"W/VW":                  -8.583168,
+		"WE":                    -8.583168,
+		"WJ":                    -8.583168,
+		"WPM":                   -8.583168,
+		"WWPAG_":                -8.583168,
+		"WWPAO_":                -8.583168,
+		"Whitespace":            -7.196874,
+		"With":                  -6.503727,
+		"Without":               -7.484556,
+		"Work":                  -8.583168,
+		"Worker":                -7.484556,
+		"X":                     -7.196874,
+		"XC":                    -7.196874,
+		"XDE":                   -8.583168,
+		"XH":                    -7.890021,
+		"XUMPTP_EXOF":           -8.583168,
+		"X_TVONVN":              -7.890021,
+		"Y":                     -6.973730,
+		"YBM@":                  -7.890021,
+		"YHA":                   -8.583168,
+		"YW.YBG":                -7.890021,
+		"YX":                    -8.583168,
+		"YX*RU":                 -7.890021,
+		"Y_":                    -7.890021,
+		"Z":                     -6.503727,
+		"Z#":                    -7.890021,
+		"ZGK":                   -8.583168,
+		"ZGM":                   -8.583168,
+		"ZH":                    -8.583168,
+		"ZIWV":                  -7.890021,
+		"ZRP":                   -8.583168,
+		"ZZQG":                  -8.583168,
+		"[":                     -5.638729,
+		"\\":                    -5.364292,
+		"]":                     -5.149181,
+		"^":                     -5.538646,
+		"_":                     -6.791409,
+		"_/":                    -8.583168,
+		"_INJ":                  -8.583168,
+		"_KK":                   -8.583168,
+		"_ML":                   -8.583168,
+		"_N":                    -8.583168,
+		"_Z":                    -8.583168,
+		"`":                     -4.919607,
+		"a":                     -5.492126,
+		"actor":                 -8.583168,
+		"actors":                -7.890021,
+		"adapts":                -8.583168,
+		"af":                    -8.583168,
+		"alarms":                -7.890021,
+		"allows":                -7.890021,
+		"also":                  -7.890021,
+		"among":                 -8.583168,
+		"amp":                   -5.492126,
+		"an":                    -7.890021,
+		"and":                   -6.185273,
+		"app":                   -8.583168,
+		"application":           -8.583168,
+		"application.":          -7.890021,
+		"applications":          -7.484556,
+		"apply":                 -8.583168,
+		"apps":                  -8.583168,
+		"arrays":                -8.583168,
+		"automatically":         -8.583168,
+		"basics":                -8.583168,
+		"but":                   -6.973730,
+		"can":                   -8.583168,
+		"class":                 -8.583168,
+		"classes":               -8.583168,
+		"code":                  -6.791409,
+		"coffee":                -7.890021,
+		"communicate":           -7.890021,
+		"consist":               -7.890021,
+		"contains":              -7.890021,
+		"continuous":            -8.583168,
+		"copy":                  -8.583168,
+		"create":                -7.196874,
+		"ctl":                   -6.791409,
+		"data":                  -7.196874,
+		"demo":                  -8.583168,
+		"demonstrated":          -8.583168,
+		"demonstrates":          -7.890021,
+		"demos":                 -8.583168,
+		"design":                -8.583168,
+		"different":             -8.583168,
+		"each":                  -7.484556,
+		"encoding":              -7.196874,
+		"encoding=":             -6.280583,
+		"ended":                 -8.583168,
+		"error":                 -7.890021,
+		"example":               -7.196874,
+		"facilitates":           -7.890021,
+		"false":                 -5.875118,
+		"feature":               -8.583168,
+		"fixed":                 -8.583168,
+		"flexible":              -8.583168,
+		"font":                  -6.503727,
+		"for":                   -6.385944,
+		"framework":             -8.583168,
+		"frameworks":            -8.583168,
+		"functionality":         -7.890021,
+		"given":                 -7.890021,
+		"graphics":              -7.196874,
+		"gt":                    -3.236061,
+		"how":                   -8.583168,
+		"if":                    -7.890021,
+		"implementation":        -8.583168,
+		"implementations":       -8.583168,
+		"in":                    -6.791409,
+		"independent":           -7.890021,
+		"input":                 -8.583168,
+		"instead":               -8.583168,
+		"introduction":          -8.583168,
+		"is":                    -6.791409,
+		"it":                    -7.196874,
+		"itself":                -8.583168,
+		"lt":                    -3.269962,
+		"lvclass":               -4.522725,
+		"lvlib":                 -5.944111,
+		"main":                  -7.890021,
+		"malleable":             -6.637258,
+		"many":                  -8.583168,
+		"monitoring":            -7.484556,
+		"multiple":              -7.890021,
+		"nested":                -8.583168,
+		"nidna":                 -7.196874,
+		"node":                  -7.196874,
+		"non":                   -8.583168,
+		"number":                -7.484556,
+		"of":                    -5.944111,
+		"on":                    -7.484556,
+		"one":                   -8.583168,
+		"open":                  -8.583168,
+		"operation":             -8.583168,
+		"or":                    -7.484556,
+		"order":                 -7.484556,
+		"other":                 -8.583168,
+		"other.":                -7.890021,
+		"outside":               -7.890021,
+		"particular":            -8.583168,
+		"particularly":          -8.583168,
+		"pattern":               -8.583168,
+		"patterns":              -8.583168,
+		"performs":              -8.583168,
+		"polymorphic":           -6.973730,
+		"principles":            -8.583168,
+		"project":               -7.890021,
+		"project.":              -7.890021,
+		"property":              -7.196874,
+		"provides":              -8.583168,
+		"range.":                -7.890021,
+		"reads":                 -7.890021,
+		"same":                  -8.583168,
+		"sample":                -7.196874,
+		"saving":                -8.583168,
+		"schemaLocation":        -7.890021,
+		"search":                -8.583168,
+		"searching":             -8.583168,
+		"selection":             -8.583168,
+		"separate":              -8.583168,
+		"set":                   -7.890021,
+		"shop.":                 -7.890021,
+		"shows":                 -7.484556,
+		"similar":               -8.583168,
+		"simpler":               -8.583168,
+		"simulated":             -7.890021,
+		"simulation":            -7.890021,
+		"single":                -8.583168,
+		"sort":                  -8.583168,
+		"sorting":               -8.583168,
+		"string":                -7.196874,
+		"subset":                -7.890021,
+		"supports":              -8.583168,
+		"tasks":                 -7.890021,
+		"temperature":           -6.973730,
+		"temperatures":          -8.583168,
+		"that":                  -6.385944,
+		"the":                   -6.098262,
+		"this":                  -7.196874,
+		"to":                    -5.810579,
+		"true":                  -5.117432,
+		"type":                  -8.583168,
+		"type.":                 -8.583168,
+		"types":                 -8.583168,
+		"types.":                -7.890021,
+		"up":                    -7.484556,
+		"usage":                 -7.890021,
+		"use":                   -7.484556,
+		"varying":               -8.583168,
+		"version":               -7.196874,
+		"version=":              -6.280583,
+		"vi":                    -3.520573,
+		"vim":                   -4.999649,
+		"where":                 -8.583168,
+		"widely":                -8.583168,
+		"with":                  -6.973730,
+		"write":                 -8.583168,
+		"xml":                   -7.196874,
+		"xmlns":                 -7.196874,
+		"xsi":                   -7.196874,
+		"you":                   -7.890021,
+		"\ufeff":                -6.280583,
+	},
+	"Lark": map[string]float64{
+		"!":                     -4.495355,
+		"%":                     -3.706898,
+		"(":                     -3.271580,
+		")":                     -3.271580,
+		"*":                     -3.802208,
+		"*/":                    -5.006181,
+		"+":                     -4.313034,
+		",":                     -5.411646,
+		"-":                     -3.160354,
+		".ebl_atf_at_line":      -6.104793,
+		".ebl_atf_dollar_line":  -6.104793,
+		".ebl_atf_text_line":    -6.104793,
+		"/":                     -3.396743,
+		"/*":                    -6.104793,
+		"/.":                    -6.104793,
+		"/./":                   -6.104793,
+		"/_":                    -6.104793,
+		":":                     -2.415914,
+		">":                     -3.802208,
+		"?":                     -2.737497,
+		"A":                     -6.104793,
+		"COMMENT":               -5.411646,
+		"INT":                   -6.104793,
+		"LCASE_LETTER":          -5.411646,
+		"NUMBER":                -4.718499,
+		"OBJECT":                -5.411646,
+		"OP":                    -5.411646,
+		"REGEXP":                -5.411646,
+		"RULE":                  -4.313034,
+		"STRING":                -4.718499,
+		"SURFACE":               -5.411646,
+		"TOKEN":                 -4.495355,
+		"WS_INLINE":             -6.104793,
+		"Z":                     -5.411646,
+		"[":                     -3.271580,
+		"\\":                    -3.214421,
+		"]":                     -3.271580,
+		"^":                     -5.411646,
+		"_":                     -6.104793,
+		"_A":                    -6.104793,
+		"_NL":                   -5.006181,
+		"_STRING":               -5.411646,
+		"_VBAR":                 -5.411646,
+		"_a":                    -6.104793,
+		"_item":                 -5.006181,
+		"a":                     -5.411646,
+		"alias":                 -5.006181,
+		"any_word":              -6.104793,
+		"at_line":               -5.411646,
+		"atom":                  -5.411646,
+		"common.DIGIT":          -6.104793,
+		"common.ESCAPED_STRING": -6.104793,
+		"common.INT":            -6.104793,
+		"common.LCASE_LETTER":   -6.104793,
+		"common.SIGNED_INT":     -6.104793,
+		"common.WS_INLINE":      -6.104793,
+		"control_line":          -6.104793,
+		"control_line.":         -6.104793,
+		"declare":               -6.104793,
+		"dollar_line":           -5.411646,
+		"edge":                  -5.411646,
+		"empty_line":            -5.411646,
+		"expansion":             -5.411646,
+		"expansions":            -4.313034,
+		"expr":                  -6.104793,
+		"expr*":                 -6.104793,
+		"face":                  -5.411646,
+		"fragment":              -5.411646,
+		"free_text":             -5.006181,
+		"free_text.":            -6.104793,
+		"generic_object":        -5.411646,
+		"generic_surface":       -5.411646,
+		"ignore":                -5.006181,
+		"import":                -3.802208,
+		"import_path":           -5.006181,
+		"imslux":                -6.104793,
+		"line":                  -5.411646,
+		"literal":               -6.104793,
+		"literal_range":         -6.104793,
+		"maybe":                 -6.104793,
+		"multi_import":          -6.104793,
+		"n":                     -5.006181,
+		"name":                  -3.907569,
+		"name_list":             -5.411646,
+		"note_line":             -5.411646,
+		"object":                -6.104793,
+		"priority":              -5.006181,
+		"r":                     -6.104793,
+		"rule":                  -5.411646,
+		"rule_params":           -5.411646,
+		"s":                     -6.104793,
+		"s*/":                   -5.411646,
+		"seal":                  -6.104793,
+		"start":                 -5.411646,
+		"statement":             -5.411646,
+		"surface":               -6.104793,
+		"template_usage":        -6.104793,
+		"text_line":             -5.411646,
+		"token":                 -5.411646,
+		"token_params":          -5.411646,
+		"value":                 -4.718499,
+		"z":                     -5.006181,
+		"|":                     -2.521274,
+	},
 	"Lasso": map[string]float64{
 		"!":              -5.225030,
 		"#":              -8.443905,
@@ -72981,237 +77220,387 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":          -3.178054,
 	},
 	"Lex": map[string]float64{
-		"!":                              -5.562987,
-		"\"":                             -7.172425,
-		"#define":                        -4.127902,
-		"#else":                          -6.479277,
-		"#endif":                         -6.073812,
-		"#if":                            -6.479277,
-		"#ifdef":                         -7.172425,
-		"#include":                       -5.380665,
-		"$":                              -6.479277,
-		"%":                              -6.479277,
-		"&":                              -4.975200,
-		"&&":                             -6.073812,
-		"(":                              -2.289623,
-		")":                              -2.274585,
-		"*":                              -4.975200,
-		"**":                             -7.172425,
-		"*/":                             -7.172425,
-		"*buf":                           -7.172425,
-		"*end":                           -7.172425,
-		"*fh":                            -6.479277,
-		"*lval":                          -7.172425,
-		"*s":                             -7.172425,
-		"*stack_state":                   -7.172425,
-		"*str":                           -6.479277,
-		"*t":                             -7.172425,
-		"*zend_ini_scanner_get_filename": -7.172425,
-		"+":                              -4.533367,
-		",":                              -3.458853,
-		"-":                              -4.227986,
-		".":                              -7.172425,
-		":":                              -6.479277,
-		";":                              -2.672615,
-		"<":                              -6.479277,
-		"<!*>":                           -7.172425,
-		"<*>":                            -7.172425,
-		"<,>":                            -7.172425,
-		"<INITIAL,ST_RAW>":               -7.172425,
-		"<INITIAL,ST_VALUE,ST_RAW>":      -7.172425,
-		"<INITIAL,ST_VALUE>":             -6.479277,
-		"<INITIAL>":                      -5.226514,
-		"<ST_DOUBLE_QUOTES,ST_SECTION_VALUE,ST_VALUE,ST_OFFSET>": -7.172425,
-		"<ST_DOUBLE_QUOTES>":                    -6.479277,
-		"<ST_OFFSET>":                           -7.172425,
-		"<ST_RAW>":                              -6.479277,
-		"<ST_SECTION_RAW,ST_SECTION_VALUE>":     -7.172425,
-		"<ST_SECTION_RAW>":                      -7.172425,
-		"<ST_SECTION_VALUE,ST_OFFSET>":          -7.172425,
-		"<ST_SECTION_VALUE,ST_VALUE,ST_OFFSET>": -5.786130,
-		"<ST_VALUE,ST_RAW>":                     -6.479277,
-		"<ST_VALUE,ST_SECTION_VALUE,ST_OFFSET>": -7.172425,
-		"<ST_VALUE>":                            -6.073812,
-		"<ST_VARNAME>":                          -6.479277,
-		"<errno.h>":                             -7.172425,
-		"<helly@php.net>":                       -7.172425,
-		"<jani@php.net>":                        -7.172425,
-		"<nlopess@php.net>":                     -7.172425,
-		"<scottmac@php.net>":                    -7.172425,
-		"<zeev@zend.com>":                       -7.172425,
-		"<zend_ini_parser.h>":                   -7.172425,
-		"=":                                     -3.221181,
-		">":                                     -5.786130,
-		"?":                                     -6.073812,
-		"ANY_CHAR":                              -6.479277,
-		"BEGIN":                                 -5.380665,
-		"BOOL_FALSE":                            -7.172425,
-		"BOOL_TRUE":                             -7.172425,
-		"CONSTANT":                              -7.172425,
-		"Comments":                              -7.172425,
-		"DNUM":                                  -7.172425,
-		"DOLLAR_CURLY":                          -7.172425,
-		"EAT_LEADING_WHITESPACE":                -5.786130,
-		"EAT_TRAILING_WHITESPACE":               -6.073812,
-		"EAT_TRAILING_WHITESPACE_EX":            -6.073812,
-		"END_OF_LINE":                           -6.479277,
-		"E_WARNING":                             -7.172425,
-		"FAILURE":                               -5.226514,
-		"INITIAL":                               -5.562987,
-		"INI_SCNG":                              -7.172425,
-		"IS_STRING":                             -7.172425,
-		"LABEL":                                 -5.786130,
-		"LITERAL_DOLLAR":                        -7.172425,
-		"LNUM":                                  -7.172425,
-		"NEWLINE":                               -6.479277,
-		"NULL":                                  -6.073812,
-		"NUMBER":                                -7.172425,
-		"RAW_VALUE_CHARS":                       -7.172425,
-		"RETURN_TOKEN":                          -5.226514,
-		"SCNG":                                  -3.914328,
-		"SINGLE_QUOTED_CHARS":                   -7.172425,
-		"STATE":                                 -6.479277,
-		"ST_OFFSET":                             -7.172425,
-		"ST_RAW":                                -7.172425,
-		"ST_SECTION_RAW":                        -7.172425,
-		"ST_SECTION_VALUE":                      -7.172425,
-		"ST_VALUE":                              -7.172425,
-		"ST_VARNAME":                            -7.172425,
-		"SUCCESS":                               -6.073812,
-		"TABS_AND_SPACES":                       -5.092983,
-		"TC_DOLLAR_CURLY":                       -7.172425,
-		"TC_LABEL":                              -7.172425,
-		"TC_OFFSET":                             -7.172425,
-		"TC_RAW":                                -7.172425,
-		"TC_SECTION":                            -7.172425,
-		"TC_VARNAME":                            -7.172425,
-		"TSRMLS_C":                              -6.479277,
-		"TSRMLS_CC":                             -4.687518,
-		"TSRMLS_D":                              -5.786130,
-		"TSRMLS_DC":                             -5.562987,
-		"WHITESPACE":                            -7.172425,
-		"YYCTYPE":                               -7.172425,
-		"YYCURSOR":                              -5.380665,
-		"YYFILL":                                -7.172425,
-		"YYGETCONDITION":                        -6.073812,
-		"YYLIMIT":                               -6.073812,
-		"YYMARKER":                              -7.172425,
-		"YYMAXFILL":                             -7.172425,
-		"YYSETCONDITION":                        -5.786130,
-		"YYSTATE":                               -7.172425,
-		"Z":                                     -7.172425,
-		"ZEND_API":                              -6.479277,
-		"ZEND_INI_SCANNER_NORMAL":               -7.172425,
-		"ZEND_INI_SCANNER_RAW":                  -6.073812,
-		"ZEND_MMAP_AHEAD":                       -7.172425,
-		"ZTS":                                   -7.172425,
-		"Z_":                                    -7.172425,
-		"Z_STRLEN_P":                            -6.479277,
-		"Z_STRVAL_P":                            -6.479277,
-		"Z_TYPE_P":                              -7.172425,
-		"[":                                     -4.127902,
-		"\\":                                    -3.483545,
-		"]":                                     -4.127902,
-		"^":                                     -5.786130,
-		"_":                                     -7.172425,
-		"_yy_push_state":                        -6.479277,
-		"a":                                     -6.479277,
-		"are":                                   -7.172425,
-		"break":                                 -7.172425,
-		"buf":                                   -6.479277,
-		"ch":                                    -6.073812,
-		"char":                                  -5.092983,
-		"char*":                                 -6.479277,
-		"d":                                     -7.172425,
-		"deprecated":                            -7.172425,
-		"do":                                    -7.172425,
-		"else":                                  -5.786130,
-		"end":                                   -6.479277,
-		"fh":                                    -5.226514,
-		"filename":                              -6.073812,
-		"free":                                  -7.172425,
-		"if":                                    -4.687518,
-		"in":                                    -7.172425,
-		"ini_filename":                          -5.226514,
-		"ini_lval":                              -7.172425,
-		"ini_scanner_globals":                   -7.172425,
-		"ini_scanner_globals_id":                -7.172425,
-		"init_ini_scanner":                      -6.073812,
-		"int":                                   -4.607475,
-		"len":                                   -4.869839,
-		"line":                                  -7.172425,
-		"lineno":                                -5.562987,
-		"lval":                                  -6.073812,
-		"n":                                     -6.073812,
-		"name":                                  -7.172425,
-		"new_state":                             -6.479277,
-		"on":                                    -7.172425,
-		"quote_type":                            -7.172425,
-		"r":                                     -7.172425,
-		"register":                              -7.172425,
-		"return":                                -4.176692,
-		"retval":                                -5.786130,
-		"s":                                     -5.380665,
-		"scanner_mode":                          -4.774529,
-		"shutdown_ini_scanner":                  -7.172425,
-		"size":                                  -6.073812,
-		"size_t":                                -7.172425,
-		"sizeof":                                -7.172425,
-		"stack_state":                           -7.172425,
-		"starting":                              -7.172425,
-		"state":                                 -6.479277,
-		"state_and_tsrm":                        -7.172425,
-		"state_stack":                           -5.786130,
-		"static":                                -5.786130,
-		"str":                                   -5.092983,
-		"strlen":                                -6.479277,
-		"t":                                     -5.562987,
-		"ts_rsrc_id":                            -7.172425,
-		"type":                                  -6.479277,
-		"unsigned":                              -6.073812,
-		"void":                                  -5.380665,
-		"while":                                 -5.786130,
-		"with":                                  -7.172425,
-		"x":                                     -6.073812,
-		"yy_cursor":                             -7.172425,
-		"yy_in":                                 -7.172425,
-		"yy_leng":                               -7.172425,
-		"yy_limit":                              -7.172425,
-		"yy_marker":                             -7.172425,
-		"yy_pop_state":                          -6.479277,
-		"yy_push_state":                         -5.226514,
-		"yy_scan_buffer":                        -6.479277,
-		"yy_start":                              -7.172425,
-		"yy_state":                              -6.479277,
-		"yy_text":                               -5.786130,
-		"yyc##name":                             -7.172425,
-		"yyc##state_and_tsrm":                   -7.172425,
-		"yyleng":                                -4.339211,
-		"yyless":                                -7.172425,
-		"yytext":                                -4.464374,
-		"zA":                                    -6.479277,
-		"zend_error":                            -7.172425,
-		"zend_file_handle":                      -6.479277,
-		"zend_file_handle_dtor":                 -7.172425,
-		"zend_ini_copy_value":                   -6.073812,
-		"zend_ini_escape_string":                -7.172425,
-		"zend_ini_open_file_for_scanning":       -7.172425,
-		"zend_ini_prepare_string_for_scanning":  -7.172425,
-		"zend_ini_scanner_get_filename":         -7.172425,
-		"zend_ini_scanner_get_lineno":           -7.172425,
-		"zend_ini_scanner_globals":              -7.172425,
-		"zend_stack_destroy":                    -7.172425,
-		"zend_stack_init":                       -7.172425,
-		"zend_stack_push":                       -7.172425,
-		"zend_stack_top":                        -7.172425,
-		"zend_stream_fixup":                     -7.172425,
-		"zend_strndup":                          -6.479277,
-		"zval":                                  -7.172425,
-		"{":                                     -3.045290,
-		"|":                                     -4.607475,
-		"||":                                    -5.562987,
-		"}":                                     -3.045290,
-		"~":                                     -7.172425,
+		"!":                              -5.938591,
+		"\"":                             -7.548029,
+		"#":                              -7.548029,
+		"#define":                        -4.503507,
+		"#else":                          -6.854882,
+		"#endif":                         -6.449417,
+		"#if":                            -6.854882,
+		"#ifdef":                         -7.548029,
+		"#include":                       -5.756270,
+		"$":                              -5.468587,
+		"%":                              -6.449417,
+		"&":                              -5.350804,
+		"&&":                             -6.449417,
+		"(":                              -2.418130,
+		")":                              -2.424065,
+		"*":                              -5.150134,
+		"**":                             -7.548029,
+		"*/":                             -7.548029,
+		"*buf":                           -7.548029,
+		"*end":                           -7.548029,
+		"*fh":                            -6.854882,
+		"*lval":                          -7.548029,
+		"*s":                             -7.548029,
+		"*stack_state":                   -7.548029,
+		"*str":                           -6.854882,
+		"*t":                             -7.548029,
+		"*zend_ini_scanner_get_filename": -7.548029,
+		"+":                              -4.839979,
+		",":                              -3.166002,
+		"-":                              -3.910443,
+		".":                              -5.602119,
+		"..":                             -5.756270,
+		"...":                            -7.548029,
+		"0":                              -7.548029,
+		"1":                              -7.548029,
+		"3":                              -7.548029,
+		"9":                              -7.548029,
+		":":                              -5.350804,
+		";":                              -3.048219,
+		"<":                              -6.161735,
+		"<!*>":                           -7.548029,
+		"<*>":                            -7.548029,
+		"<,>":                            -7.548029,
+		"<->":                            -6.161735,
+		"<INITIAL,ST_RAW>":               -7.548029,
+		"<INITIAL,ST_VALUE,ST_RAW>":      -7.548029,
+		"<INITIAL,ST_VALUE>":             -6.854882,
+		"<INITIAL>":                      -5.602119,
+		"<ST_DOUBLE_QUOTES,ST_SECTION_VALUE,ST_VALUE,ST_OFFSET>": -7.548029,
+		"<ST_DOUBLE_QUOTES>":                    -6.854882,
+		"<ST_OFFSET>":                           -7.548029,
+		"<ST_RAW>":                              -6.854882,
+		"<ST_SECTION_RAW,ST_SECTION_VALUE>":     -7.548029,
+		"<ST_SECTION_RAW>":                      -7.548029,
+		"<ST_SECTION_VALUE,ST_OFFSET>":          -7.548029,
+		"<ST_SECTION_VALUE,ST_VALUE,ST_OFFSET>": -6.161735,
+		"<ST_VALUE,ST_RAW>":                     -6.854882,
+		"<ST_VALUE,ST_SECTION_VALUE,ST_OFFSET>": -7.548029,
+		"<ST_VALUE>":                            -6.449417,
+		"<ST_VARNAME>":                          -6.854882,
+		"<\\|\\&\\^\\.]>":                       -7.548029,
+		"<errno.h>":                             -7.548029,
+		"<helly@php.net>":                       -7.548029,
+		"<jani@php.net>":                        -7.548029,
+		"<nlopess@php.net>":                     -7.548029,
+		"<scottmac@php.net>":                    -7.548029,
+		"<zeev@zend.com>":                       -7.548029,
+		"<zend_ini_parser.h>":                   -7.548029,
+		"=":                                     -3.358374,
+		">":                                     -4.657657,
+		"?":                                     -6.449417,
+		"@charlit":                              -7.548029,
+		"@stringcharlit*":                       -7.548029,
+		"A":                                     -7.548029,
+		"ANY_CHAR":                              -6.854882,
+		"Alex":                                  -6.449417,
+		"AlexPn":                                -6.854882,
+		"AlexPosn":                              -6.854882,
+		"BEGIN":                                 -5.756270,
+		"BOOL_FALSE":                            -7.548029,
+		"BOOL_TRUE":                             -7.548029,
+		"BS":                                    -6.449417,
+		"BinOp":                                 -7.548029,
+		"ByteString.ByteString":                 -6.449417,
+		"ByteString.unpack":                     -7.548029,
+		"CONSTANT":                              -7.548029,
+		"Char":                                  -7.548029,
+		"Comments":                              -7.548029,
+		"DNUM":                                  -7.548029,
+		"DOC":                                   -7.548029,
+		"DOLLAR_CURLY":                          -7.548029,
+		"Data.Bits":                             -7.548029,
+		"Data.ByteString.Lazy":                  -7.548029,
+		"Data.Char":                             -7.548029,
+		"Data.Either":                           -7.548029,
+		"Data.Function":                         -7.548029,
+		"Data.Int":                              -7.548029,
+		"Data.List":                             -7.548029,
+		"Data.Monoid":                           -7.548029,
+		"Data.Text":                             -7.548029,
+		"Data.Text.Encoding":                    -7.548029,
+		"Data.Text.Read":                        -7.548029,
+		"Data.Word":                             -7.548029,
+		"EAT_LEADING_WHITESPACE":                -6.161735,
+		"EAT_TRAILING_WHITESPACE":               -6.449417,
+		"EAT_TRAILING_WHITESPACE_EX":            -6.449417,
+		"END_OF_LINE":                           -6.854882,
+		"EOF":                                   -6.854882,
+		"E_WARNING":                             -7.548029,
+		"Either":                                -7.548029,
+		"Eq":                                    -7.548029,
+		"FAILURE":                               -5.602119,
+		"Futhark.Util.Loc":                      -7.548029,
+		"INITIAL":                               -5.938591,
+		"INI_SCNG":                              -7.548029,
+		"IS_STRING":                             -7.548029,
+		"Int":                                   -4.456987,
+		"Integral":                              -7.548029,
+		"L":                                     -5.938591,
+		"LABEL":                                 -6.161735,
+		"LITERAL_DOLLAR":                        -7.548029,
+		"LNUM":                                  -7.548029,
+		"Language.Futhark.Core":                 -7.548029,
+		"Language.Futhark.Parser.Lexer":         -7.548029,
+		"Language.Futhark.Prop":                 -7.548029,
+		"Language.Futhark.Syntax":               -7.548029,
+		"Lexeme":                                -6.854882,
+		"Loc":                                   -7.548029,
+		"NEWLINE":                               -6.854882,
+		"NULL":                                  -6.449417,
+		"NUMBER":                                -7.548029,
+		"Name":                                  -7.548029,
+		"Numeric":                               -7.548029,
+		"P":                                     -7.548029,
+		"PROJ_FIELD":                            -7.548029,
+		"PROJ_INDEX":                            -7.548029,
+		"Pos":                                   -7.548029,
+		"RAW_VALUE_CHARS":                       -7.548029,
+		"RETURN_TOKEN":                          -5.602119,
+		"SCNG":                                  -4.289932,
+		"SINGLE_QUOTED_CHARS":                   -7.548029,
+		"STATE":                                 -6.854882,
+		"ST_OFFSET":                             -7.548029,
+		"ST_RAW":                                -7.548029,
+		"ST_SECTION_RAW":                        -7.548029,
+		"ST_SECTION_VALUE":                      -7.548029,
+		"ST_VALUE":                              -7.548029,
+		"ST_VARNAME":                            -7.548029,
+		"SUCCESS":                               -6.449417,
+		"Show":                                  -7.548029,
+		"SrcLoc":                                -6.854882,
+		"String":                                -7.548029,
+		"T":                                     -5.150134,
+		"T.Text":                                -6.854882,
+		"T.drop":                                -6.449417,
+		"T.foldl":                               -7.548029,
+		"T.isPrefixOf":                          -5.756270,
+		"TABS_AND_SPACES":                       -5.468587,
+		"TC_DOLLAR_CURLY":                       -7.548029,
+		"TC_LABEL":                              -7.548029,
+		"TC_OFFSET":                             -7.548029,
+		"TC_RAW":                                -7.548029,
+		"TC_SECTION":                            -7.548029,
+		"TC_VARNAME":                            -7.548029,
+		"TSRMLS_C":                              -6.854882,
+		"TSRMLS_CC":                             -5.063122,
+		"TSRMLS_D":                              -6.161735,
+		"TSRMLS_DC":                             -5.938591,
+		"Text":                                  -7.548029,
+		"Token":                                 -7.548029,
+		"WHITESPACE":                            -7.548029,
+		"Word":                                  -5.938591,
+		"YYCTYPE":                               -7.548029,
+		"YYCURSOR":                              -5.756270,
+		"YYFILL":                                -7.548029,
+		"YYGETCONDITION":                        -6.449417,
+		"YYLIMIT":                               -6.449417,
+		"YYMARKER":                              -7.548029,
+		"YYMAXFILL":                             -7.548029,
+		"YYSETCONDITION":                        -6.161735,
+		"YYSTATE":                               -7.548029,
+		"Z":                                     -6.449417,
+		"ZEND_API":                              -6.854882,
+		"ZEND_INI_SCANNER_NORMAL":               -7.548029,
+		"ZEND_INI_SCANNER_RAW":                  -6.449417,
+		"ZEND_MMAP_AHEAD":                       -7.548029,
+		"ZTS":                                   -7.548029,
+		"Z_":                                    -7.548029,
+		"Z_STRLEN_P":                            -6.854882,
+		"Z_STRVAL_P":                            -6.854882,
+		"Z_TYPE_P":                              -7.548029,
+		"[":                                     -4.215824,
+		"\\":                                    -3.741366,
+		"]":                                     -4.369975,
+		"^":                                     -6.161735,
+		"_":                                     -6.161735,
+		"_yy_push_state":                        -6.854882,
+		"`":                                     -4.983080,
+		"a":                                     -4.775440,
+		"acc":                                   -6.854882,
+		"addr":                                  -6.854882,
+		"advance":                               -6.161735,
+		"alexGetPosn":                           -7.548029,
+		"alexMonadScan":                         -7.548029,
+		"are":                                   -7.548029,
+		"as":                                    -6.161735,
+		"base":                                  -6.854882,
+		"binop":                                 -6.854882,
+		"break":                                 -7.548029,
+		"buf":                                   -6.854882,
+		"c":                                     -6.854882,
+		"case":                                  -7.548029,
+		"ch":                                    -6.449417,
+		"char":                                  -5.350804,
+		"char*":                                 -6.854882,
+		"col":                                   -6.161735,
+		"const":                                 -7.548029,
+		"d":                                     -7.548029,
+		"data":                                  -7.548029,
+		"decodeUtf8":                            -7.548029,
+		"deprecated":                            -7.548029,
+		"deriving":                              -7.548029,
+		"digitToInt":                            -6.854882,
+		"do":                                    -5.938591,
+		"doc":                                   -6.854882,
+		"drop":                                  -6.854882,
+		"else":                                  -6.161735,
+		"end":                                   -5.468587,
+		"endpos":                                -6.854882,
+		"f":                                     -5.468587,
+		"fh":                                    -5.602119,
+		"file":                                  -7.548029,
+		"filename":                              -6.449417,
+		"fix":                                   -6.854882,
+		"foldl":                                 -7.548029,
+		"free":                                  -7.548029,
+		"fromIntegral":                          -7.548029,
+		"fromRoman":                             -7.548029,
+		"hiding":                                -7.548029,
+		"identifier":                            -6.854882,
+		"if":                                    -5.063122,
+		"import":                                -4.714816,
+		"in":                                    -7.548029,
+		"ini_filename":                          -5.602119,
+		"ini_lval":                              -7.548029,
+		"ini_scanner_globals":                   -7.548029,
+		"ini_scanner_globals_id":                -7.548029,
+		"init":                                  -7.548029,
+		"init_ini_scanner":                      -6.449417,
+		"instance":                              -7.548029,
+		"int":                                   -4.983080,
+		"k":                                     -7.548029,
+		"keyword":                               -7.548029,
+		"leadingOperator":                       -7.548029,
+		"len":                                   -5.063122,
+		"line":                                  -5.938591,
+		"lineno":                                -5.938591,
+		"location":                              -7.548029,
+		"loop":                                  -6.854882,
+		"lval":                                  -6.449417,
+		"map":                                   -7.548029,
+		"mkQualId":                              -7.548029,
+		"module":                                -7.548029,
+		"n":                                     -5.602119,
+		"name":                                  -7.548029,
+		"nameFromText":                          -6.854882,
+		"nameToText":                            -7.548029,
+		"new_state":                             -6.854882,
+		"of":                                    -7.548029,
+		"off":                                   -6.854882,
+		"on":                                    -7.548029,
+		"opchar":                                -6.854882,
+		"ord":                                   -7.548029,
+		"orig_pos":                              -6.854882,
+		"otherwise":                             -7.548029,
+		"p":                                     -7.548029,
+		"parseBase":                             -6.161735,
+		"pos":                                   -5.938591,
+		"posn":                                  -6.854882,
+		"posnToPos":                             -6.161735,
+		"printable#":                            -7.548029,
+		"qs":                                    -7.548029,
+		"qualbinop":                             -7.548029,
+		"qualified":                             -6.161735,
+		"quote_type":                            -7.548029,
+		"r":                                     -7.548029,
+		"readIntegral":                          -6.854882,
+		"register":                              -7.548029,
+		"rest":                                  -6.854882,
+		"return":                                -4.215824,
+		"retval":                                -6.161735,
+		"runAlex":                               -7.548029,
+		"s":                                     -4.412535,
+		"scanTokens":                            -7.548029,
+		"scanTokensText":                        -7.548029,
+		"scanner_mode":                          -5.150134,
+		"shutdown_ini_scanner":                  -7.548029,
+		"size":                                  -6.449417,
+		"size_t":                                -7.548029,
+		"sizeof":                                -7.548029,
+		"source":                                -7.548029,
+		"space":                                 -6.854882,
+		"split":                                 -7.548029,
+		"stack_state":                           -7.548029,
+		"start":                                 -5.938591,
+		"start_col":                             -7.548029,
+		"start_line":                            -7.548029,
+		"start_off":                             -7.548029,
+		"starting":                              -7.548029,
+		"state":                                 -6.854882,
+		"state_and_tsrm":                        -7.548029,
+		"state_stack":                           -6.161735,
+		"static":                                -6.161735,
+		"str":                                   -5.350804,
+		"string":                                -7.548029,
+		"stripStart":                            -7.548029,
+		"strlen":                                -6.854882,
+		"symbol":                                -7.548029,
+		"t":                                     -5.468587,
+		"tagged":                                -7.548029,
+		"take":                                  -7.548029,
+		"toLower":                               -7.548029,
+		"toStrict":                              -7.548029,
+		"tok":                                   -6.854882,
+		"tokenC":                                -6.854882,
+		"tokenM":                                -5.938591,
+		"tokenS":                                -6.854882,
+		"tokens":                                -7.548029,
+		"ts_rsrc_id":                            -7.548029,
+		"type":                                  -6.449417,
+		"unlines":                               -7.548029,
+		"unpack":                                -7.548029,
+		"unsigned":                              -6.449417,
+		"v":                                     -6.449417,
+		"value":                                 -7.548029,
+		"void":                                  -5.756270,
+		"where":                                 -5.938591,
+		"while":                                 -6.161735,
+		"white":                                 -7.548029,
+		"with":                                  -6.854882,
+		"wrapper":                               -7.548029,
+		"x":                                     -5.938591,
+		"yy_cursor":                             -7.548029,
+		"yy_in":                                 -7.548029,
+		"yy_leng":                               -7.548029,
+		"yy_limit":                              -7.548029,
+		"yy_marker":                             -7.548029,
+		"yy_pop_state":                          -6.854882,
+		"yy_push_state":                         -5.602119,
+		"yy_scan_buffer":                        -6.854882,
+		"yy_start":                              -7.548029,
+		"yy_state":                              -6.854882,
+		"yy_text":                               -6.161735,
+		"yyc##name":                             -7.548029,
+		"yyc##state_and_tsrm":                   -7.548029,
+		"yyleng":                                -4.714816,
+		"yyless":                                -7.548029,
+		"yytext":                                -4.839979,
+		"zA":                                    -6.161735,
+		"zend_error":                            -7.548029,
+		"zend_file_handle":                      -6.854882,
+		"zend_file_handle_dtor":                 -7.548029,
+		"zend_ini_copy_value":                   -6.449417,
+		"zend_ini_escape_string":                -7.548029,
+		"zend_ini_open_file_for_scanning":       -7.548029,
+		"zend_ini_prepare_string_for_scanning":  -7.548029,
+		"zend_ini_scanner_get_filename":         -7.548029,
+		"zend_ini_scanner_get_lineno":           -7.548029,
+		"zend_ini_scanner_globals":              -7.548029,
+		"zend_stack_destroy":                    -7.548029,
+		"zend_stack_init":                       -7.548029,
+		"zend_stack_push":                       -7.548029,
+		"zend_stack_top":                        -7.548029,
+		"zend_stream_fixup":                     -7.548029,
+		"zend_strndup":                          -6.854882,
+		"zval":                                  -7.548029,
+		"{":                                     -3.404894,
+		"|":                                     -4.657657,
+		"||":                                    -5.468587,
+		"}":                                     -3.389146,
+		"~":                                     -6.854882,
 	},
 	"Limbo": map[string]float64{
 		"!":                 -5.365976,
@@ -73803,161 +78192,273 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"⟶":                                     -3.988984,
 	},
 	"Literate CoffeeScript": map[string]float64{
-		"'":                   -5.765191,
-		"(":                   -4.155753,
-		")":                   -3.973432,
-		"**Block**":           -5.765191,
-		"**Scope**":           -5.072044,
-		",":                   -2.820752,
-		"-":                   -4.155753,
-		".concat":             -5.765191,
-		".push":               -5.765191,
-		".type":               -5.765191,
-		":":                   -3.685750,
-		"=":                   -3.819281,
-		">":                   -4.666579,
-		"@expressions":        -5.765191,
-		"@method":             -5.765191,
-		"@parent":             -5.072044,
-		"@parent.add":         -5.765191,
-		"@positions":          -4.378897,
-		"@root":               -5.765191,
-		"@shared":             -5.765191,
-		"@variables":          -4.666579,
-		"@variables.push":     -5.765191,
-		"Adds":                -5.765191,
-		"As":                  -5.765191,
-		"CoffeeScript.":       -5.765191,
-		"Each":                -5.765191,
-		"Import":              -5.765191,
-		"In":                  -5.765191,
-		"Initialize":          -5.765191,
-		"Object":              -5.765191,
-		"Return":              -5.765191,
-		"Scope":               -5.765191,
-		"Scope.root":          -5.765191,
-		"The":                 -5.072044,
-		"When":                -5.765191,
-		"[":                   -4.378897,
-		"]":                   -4.378897,
-		"_":                   -4.666579,
-		"`":                   -3.973432,
-		"a":                   -3.685750,
-		"about":               -5.765191,
-		"add":                 -5.765191,
-		"an":                  -5.765191,
-		"and":                 -4.155753,
-		"are":                 -4.666579,
-		"as":                  -4.666579,
-		"assignedVariables":   -5.765191,
-		"assignments":         -5.765191,
-		"at":                  -5.765191,
-		"be":                  -5.072044,
-		"belongs":             -5.072044,
-		"bodies.":             -5.765191,
-		"called":              -5.765191,
-		"chain":               -5.765191,
-		"class":               -5.072044,
-		"code":                -5.765191,
-		"constructor":         -5.765191,
-		"create":              -5.765191,
-		"current":             -5.765191,
-		"declare":             -5.765191,
-		"declared":            -5.072044,
-		"else":                -5.072044,
-		"enclosing":           -5.765191,
-		"existing":            -5.765191,
-		"exports.Scope":       -5.765191,
-		"extend":              -5.765191,
-		"external":            -5.765191,
-		"file.":               -5.765191,
-		"find":                -5.765191,
-		"for":                 -4.666579,
-		"function":            -5.072044,
-		"generate":            -5.765191,
-		"given":               -5.765191,
-		"has":                 -5.765191,
-		"hasOwnProperty.call": -5.765191,
-		"helpers":             -5.765191,
-		"if":                  -5.072044,
-		"immediate":           -4.666579,
-		"in":                  -5.072044,
-		"is":                  -4.666579,
-		"it":                  -4.378897,
-		"its":                 -4.666579,
-		"know":                -5.765191,
-		"knows":               -5.765191,
-		"last":                -5.765191,
-		"level":               -5.765191,
-		"lexical":             -5.765191,
-		"list":                -5.765191,
-		"lookups":             -5.765191,
-		"made":                -5.765191,
-		"method":              -5.765191,
-		"name":                -3.685750,
-		"need":                -5.072044,
-		"nested":              -5.765191,
-		"new":                 -5.072044,
-		"node":                -5.765191,
-		"not":                 -5.765191,
-		"null":                -5.765191,
-		"object":              -5.765191,
-		"of":                  -4.378897,
-		"one.":                -5.765191,
-		"or":                  -5.765191,
-		"overrides":           -5.765191,
-		"param":               -5.765191,
-		"parent":              -5.072044,
-		"plan":                -5.765191,
-		"realVars":            -5.765191,
-		"realVars.sort":       -5.765191,
-		"reference":           -4.666579,
-		"regulates":           -5.765191,
-		"require":             -5.765191,
-		"return":              -5.765191,
-		"root":                -5.765191,
-		"same":                -5.765191,
-		"scope":               -5.072044,
-		"scope.":              -5.072044,
-		"scopes":              -5.765191,
-		"scopes.":             -5.765191,
-		"scoping":             -5.765191,
-		"shape":               -5.765191,
-		"shared":              -5.765191,
-		"should":              -5.765191,
-		"super":               -5.765191,
-		"supposed":            -5.765191,
-		"tempVars":            -5.765191,
-		"tempVars.sort":       -5.765191,
-		"that":                -5.072044,
-		"the":                 -3.280284,
-		"then":                -5.765191,
-		"this":                -4.666579,
-		"to":                  -3.685750,
-		"to.":                 -5.765191,
-		"top":                 -5.072044,
-		"tree":                -5.765191,
-		"type":                -4.155753,
-		"unless":              -5.765191,
-		"up":                  -5.765191,
-		"use.":                -5.765191,
-		"v":                   -5.765191,
-		"v.name":              -5.765191,
-		"v.type.assigned":     -5.765191,
-		"var":                 -4.378897,
-		"variable":            -5.765191,
-		"variables":           -4.666579,
-		"way":                 -5.765191,
-		"we":                  -4.378897,
-		"well":                -5.765191,
-		"when":                -5.765191,
-		"where":               -5.765191,
-		"which":               -4.666579,
-		"with":                -4.666579,
-		"within":              -5.072044,
-		"you":                 -5.072044,
-		"{":                   -4.378897,
-		"}":                   -4.378897,
+		"'":                               -6.529419,
+		"(":                               -2.974071,
+		")":                               -2.945900,
+		"*":                               -5.836272,
+		"**Block**":                       -6.529419,
+		"**Scope**":                       -5.836272,
+		",":                               -3.197214,
+		"-":                               -3.639047,
+		".APP_STATE":                      -6.529419,
+		".concat":                         -6.529419,
+		".forEach":                        -5.430807,
+		".push":                           -6.529419,
+		".type":                           -6.529419,
+		"/":                               -6.529419,
+		":":                               -3.964469,
+		"=":                               -2.617396,
+		">":                               -3.890362,
+		"?":                               -5.836272,
+		"@expressions":                    -6.529419,
+		"@method":                         -6.529419,
+		"@parent":                         -5.836272,
+		"@parent.add":                     -6.529419,
+		"@positions":                      -5.143124,
+		"@root":                           -6.529419,
+		"@shared":                         -6.529419,
+		"@variables":                      -5.430807,
+		"@variables.push":                 -6.529419,
+		"Adds":                            -6.529419,
+		"As":                              -6.529419,
+		"CoffeeScript.":                   -6.529419,
+		"ENV":                             -6.529419,
+		"Each":                            -6.529419,
+		"Fill":                            -6.529419,
+		"Import":                          -6.529419,
+		"In":                              -6.529419,
+		"Initialize":                      -6.529419,
+		"JSON.parse":                      -6.529419,
+		"JSON.stringify":                  -6.529419,
+		"Load":                            -6.529419,
+		"Object":                          -6.529419,
+		"Object.keys":                     -6.529419,
+		"Our":                             -6.529419,
+		"PIXI":                            -5.836272,
+		"PIXI.Sprite":                     -5.836272,
+		"PIXI.Stage":                      -6.529419,
+		"PIXI.Text":                       -6.529419,
+		"PIXI.Texture.fromImage":          -6.529419,
+		"PIXI.autoDetectRenderer":         -6.529419,
+		"Pixi":                            -6.529419,
+		"Pixi.js":                         -5.836272,
+		"Reconstitute":                    -6.529419,
+		"Reload":                          -6.529419,
+		"Return":                          -6.529419,
+		"Scope":                           -6.529419,
+		"Scope.root":                      -6.529419,
+		"Test":                            -6.529419,
+		"Testing":                         -6.529419,
+		"Text":                            -6.529419,
+		"The":                             -5.836272,
+		"This":                            -6.529419,
+		"When":                            -6.529419,
+		"[":                               -4.332194,
+		"]":                               -4.332194,
+		"_":                               -5.143124,
+		"_.omit":                          -6.529419,
+		"`":                               -4.737659,
+		"a":                               -4.332194,
+		"about":                           -6.529419,
+		"add":                             -6.529419,
+		"addText":                         -6.529419,
+		"align":                           -6.529419,
+		"an":                              -6.529419,
+		"and":                             -4.449977,
+		"animate":                         -5.430807,
+		"app":                             -5.430807,
+		"applyProperties":                 -5.836272,
+		"applyStylesheet":                 -5.836272,
+		"are":                             -5.430807,
+		"as":                              -5.430807,
+		"assignedVariables":               -6.529419,
+		"assignments":                     -6.529419,
+		"at":                              -6.529419,
+		"be":                              -5.836272,
+		"belongs":                         -5.836272,
+		"bodies.":                         -6.529419,
+		"c":                               -5.836272,
+		"c.position":                      -6.529419,
+		"called":                          -6.529419,
+		"chain":                           -6.529419,
+		"child":                           -6.529419,
+		"child.data":                      -6.529419,
+		"children":                        -6.529419,
+		"class":                           -5.836272,
+		"clickHandler":                    -5.836272,
+		"code":                            -6.529419,
+		"constructor":                     -6.529419,
+		"create":                          -6.529419,
+		"current":                         -6.529419,
+		"data":                            -4.449977,
+		"data.":                           -5.836272,
+		"data.click":                      -6.529419,
+		"data.map":                        -6.529419,
+		"datum":                           -5.836272,
+		"datum._host":                     -6.529419,
+		"datum.sprite":                    -6.529419,
+		"declare":                         -6.529419,
+		"declared":                        -5.836272,
+		"default":                         -6.529419,
+		"different":                       -6.529419,
+		"document.body.appendChild":       -6.529419,
+		"draw.":                           -6.529419,
+		"dt":                              -5.836272,
+		"editor":                          -6.529419,
+		"editor.activeObject":             -6.529419,
+		"else":                            -5.143124,
+		"enclosing":                       -6.529419,
+		"existing":                        -6.529419,
+		"export":                          -6.529419,
+		"exports.Scope":                   -6.529419,
+		"expose":                          -6.529419,
+		"extend":                          -6.529419,
+		"external":                        -6.529419,
+		"figure":                          -6.529419,
+		"file":                            -6.529419,
+		"file.":                           -6.529419,
+		"fill":                            -6.529419,
+		"find":                            -6.529419,
+		"font":                            -6.529419,
+		"for":                             -5.143124,
+		"from":                            -6.529419,
+		"function":                        -5.836272,
+		"generate":                        -6.529419,
+		"given":                           -6.529419,
+		"global.appData":                  -6.529419,
+		"handle":                          -6.529419,
+		"has":                             -6.529419,
+		"hasOwnProperty.call":             -6.529419,
+		"height":                          -5.836272,
+		"helpers":                         -6.529419,
+		"how":                             -6.529419,
+		"hydrate":                         -5.836272,
+		"i":                               -5.836272,
+		"if":                              -4.919981,
+		"immediate":                       -5.430807,
+		"in":                              -5.836272,
+		"into":                            -6.529419,
+		"is":                              -5.143124,
+		"it":                              -5.143124,
+		"its":                             -5.430807,
+		"j":                               -5.836272,
+		"know":                            -6.529419,
+		"knows":                           -6.529419,
+		"last":                            -6.529419,
+		"level":                           -6.529419,
+		"lexical":                         -6.529419,
+		"list":                            -6.529419,
+		"lookups":                         -6.529419,
+		"loop":                            -6.529419,
+		"made":                            -6.529419,
+		"main":                            -6.529419,
+		"map":                             -6.529419,
+		"method":                          -6.529419,
+		"mouseData":                       -6.529419,
+		"mouseData.originalEvent.ctrlKey": -6.529419,
+		"mouseData.originalEvent.metaKey": -6.529419,
+		"mouseData.target.data":           -5.836272,
+		"name":                            -4.131524,
+		"need":                            -5.430807,
+		"nested":                          -6.529419,
+		"new":                             -4.737659,
+		"node":                            -6.529419,
+		"not":                             -6.529419,
+		"null":                            -6.529419,
+		"object":                          -4.332194,
+		"object.addChild":                 -6.529419,
+		"object.anchor.x":                 -6.529419,
+		"object.anchor.y":                 -6.529419,
+		"object.click":                    -6.529419,
+		"object.data":                     -5.836272,
+		"object.interactive":              -6.529419,
+		"objects":                         -5.143124,
+		"objects.forEach":                 -6.529419,
+		"of":                              -5.143124,
+		"one.":                            -6.529419,
+		"or":                              -5.430807,
+		"our":                             -4.737659,
+		"out":                             -5.836272,
+		"overrides":                       -6.529419,
+		"param":                           -6.529419,
+		"parent":                          -5.836272,
+		"plan":                            -6.529419,
+		"populate":                        -6.529419,
+		"realVars":                        -6.529419,
+		"realVars.sort":                   -6.529419,
+		"reference":                       -5.430807,
+		"regulates":                       -6.529419,
+		"renderer":                        -6.529419,
+		"renderer.render":                 -6.529419,
+		"renderer.view":                   -6.529419,
+		"requestAnimationFrame":           -5.836272,
+		"require":                         -4.226834,
+		"return":                          -5.836272,
+		"root":                            -6.529419,
+		"same":                            -6.529419,
+		"sample":                          -6.529419,
+		"scope":                           -5.836272,
+		"scope.":                          -5.836272,
+		"scopes":                          -6.529419,
+		"scopes.":                         -6.529419,
+		"scoping":                         -6.529419,
+		"shape":                           -6.529419,
+		"shared":                          -6.529419,
+		"should":                          -6.529419,
+		"stage":                           -5.836272,
+		"stage.addChild":                  -5.836272,
+		"stage.children.map":              -6.529419,
+		"state.":                          -6.529419,
+		"still":                           -6.529419,
+		"super":                           -6.529419,
+		"supposed":                        -6.529419,
+		"tempVars":                        -6.529419,
+		"tempVars.sort":                   -6.529419,
+		"textSample":                      -5.836272,
+		"textSample.position.x":           -6.529419,
+		"textSample.position.y":           -6.529419,
+		"texture":                         -6.529419,
+		"textures":                        -4.737659,
+		"textures.pixie":                  -6.529419,
+		"that":                            -5.836272,
+		"the":                             -4.044512,
+		"them":                            -6.529419,
+		"then":                            -6.529419,
+		"this":                            -5.430807,
+		"to":                              -4.226834,
+		"to.":                             -6.529419,
+		"top":                             -5.836272,
+		"tree":                            -6.529419,
+		"true":                            -5.836272,
+		"type":                            -4.919981,
+		"types":                           -6.529419,
+		"unless":                          -6.529419,
+		"up":                              -6.529419,
+		"update":                          -5.430807,
+		"use":                             -6.529419,
+		"use.":                            -6.529419,
+		"using":                           -6.529419,
+		"v":                               -6.529419,
+		"v.name":                          -6.529419,
+		"v.type.assigned":                 -6.529419,
+		"value":                           -6.529419,
+		"var":                             -5.143124,
+		"variable":                        -6.529419,
+		"variables":                       -5.430807,
+		"way":                             -6.529419,
+		"we":                              -4.919981,
+		"well":                            -6.529419,
+		"when":                            -6.529419,
+		"where":                           -5.836272,
+		"which":                           -5.430807,
+		"width":                           -5.836272,
+		"with":                            -5.430807,
+		"within":                          -5.836272,
+		"x":                               -6.529419,
+		"y":                               -6.529419,
+		"you":                             -5.836272,
+		"{":                               -4.583509,
+		"}":                               -4.583509,
 	},
 	"LiveScript": map[string]float64{
 		"$":             -5.111988,
@@ -80107,6 +84608,197 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"{":                       -7.239933,
 		"}":                       -6.546785,
 	},
+	"Macaulay2": map[string]float64{
+		"#":                              -6.723832,
+		"#elt":                           -6.030685,
+		"(":                              -3.833461,
+		")":                              -3.833461,
+		"*":                              -4.932073,
+		"*w":                             -6.723832,
+		"*w*x":                           -6.723832,
+		"*w*y":                           -6.723832,
+		"*x":                             -5.114395,
+		"*y":                             -4.158883,
+		"*z":                             -4.777922,
+		"+":                              -3.545779,
+		",":                              -2.734848,
+		"-":                              -0.943089,
+		".":                              -6.723832,
+		":":                              -6.030685,
+		";":                              -4.526608,
+		"<http://www.gnu.org/licenses/>": -6.723832,
+		"=":                              -3.504957,
+		">":                              -4.158883,
+		"?":                              -6.723832,
+		"A":                              -6.723832,
+		"ANY":                            -6.723832,
+		"Algebraic":                      -6.723832,
+		"Algorithm":                      -6.723832,
+		"Authors":                        -6.723832,
+		"B":                              -5.625220,
+		"Bases":                          -6.723832,
+		"C":                              -6.723832,
+		"Change":                         -6.723832,
+		"Computation":                    -6.723832,
+		"Copyright":                      -6.723832,
+		"Date":                           -6.723832,
+		"Dylan":                          -6.723832,
+		"Efficient":                      -6.723832,
+		"Email":                          -6.030685,
+		"FGLM":                           -3.890619,
+		"FITNESS":                        -6.723832,
+		"FOR":                            -6.723832,
+		"Faugere":                        -6.723832,
+		"Finding":                        -6.723832,
+		"Foundation":                     -6.723832,
+		"Free":                           -6.723832,
+		"G":                              -4.932073,
+		"GNU":                            -5.625220,
+		"General":                        -5.625220,
+		"Geometry":                       -6.723832,
+		"Gerdt":                          -6.723832,
+		"Gianni":                         -6.723832,
+		"Groebner":                       -6.723832,
+		"Headline":                       -6.723832,
+		"HomePage":                       -6.030685,
+		"I":                              -5.114395,
+		"If":                             -6.723832,
+		"Implementation":                 -6.723832,
+		"Involutive":                     -6.723832,
+		"Lazard":                         -6.723832,
+		"Lex":                            -6.723832,
+		"License":                        -5.337538,
+		"MERCHANTABILITY":                -6.723832,
+		"Mahrud":                         -6.723832,
+		"MonomialOrder":                  -6.723832,
+		"Mora":                           -6.723832,
+		"N#":                             -6.723832,
+		"N#mu":                           -6.723832,
+		"Name":                           -6.030685,
+		"Ordering":                       -6.723832,
+		"PARTICULAR":                     -6.723832,
+		"PURPOSE.":                       -6.723832,
+		"Peifer":                         -6.723832,
+		"Polynomial":                     -6.723832,
+		"Public":                         -5.625220,
+		"R":                              -4.932073,
+		"Roots":                          -6.723832,
+		"S#":                             -6.723832,
+		"Sayrafi":                        -6.723832,
+		"See":                            -6.723832,
+		"Shea":                           -6.723832,
+		"Software":                       -6.723832,
+		"Systems":                        -6.723832,
+		"This":                           -6.030685,
+		"Using":                          -6.723832,
+		"V#elt":                          -6.723832,
+		"Version":                        -6.723832,
+		"WARRANTY":                       -6.723832,
+		"WITHOUT":                        -6.723832,
+		"Yanovich":                       -6.723832,
+		"You":                            -6.723832,
+		"Zero":                           -6.723832,
+		"[":                              -6.030685,
+		"]":                              -6.030685,
+		"^":                              -4.421247,
+		"_j":                             -6.030685,
+		"a":                              -6.723832,
+		"along":                          -6.723832,
+		"and":                            -6.030685,
+		"and/or":                         -6.723832,
+		"any":                            -6.723832,
+		"apply":                          -6.723832,
+		"as":                             -6.723832,
+		"assert":                         -6.723832,
+		"at":                             -6.723832,
+		"be":                             -6.723832,
+		"but":                            -6.723832,
+		"by":                             -6.030685,
+		"c":                              -6.723832,
+		"can":                            -6.723832,
+		"copy":                           -6.723832,
+		"cs":                             -6.723832,
+		"details.":                       -6.723832,
+		"dimensional":                    -6.723832,
+		"distributed":                    -6.723832,
+		"do":                             -6.723832,
+		"either":                         -6.723832,
+		"elapsedTime":                    -6.030685,
+		"else":                           -6.723832,
+		"elt":                            -5.337538,
+		"entries":                        -6.030685,
+		"even":                           -6.723832,
+		"export":                         -6.723832,
+		"fglm":                           -6.723832,
+		"first":                          -6.030685,
+		"for":                            -6.030685,
+		"forceGB":                        -6.723832,
+		"free":                           -6.723832,
+		"g":                              -5.337538,
+		"gb":                             -6.723832,
+		"gens":                           -6.030685,
+		"gs":                             -6.723832,
+		"have":                           -6.723832,
+		"hope":                           -6.723832,
+		"ideal":                          -6.723832,
+		"if":                             -6.723832,
+		"implied":                        -6.723832,
+		"in":                             -6.723832,
+		"is":                             -6.030685,
+		"it":                             -5.625220,
+		"j":                              -6.030685,
+		"keys":                           -6.723832,
+		"kk":                             -6.030685,
+		"lambda":                         -6.723832,
+		"later":                          -6.723832,
+		"matrix":                         -6.030685,
+		"modify":                         -6.723832,
+		"more":                           -6.723832,
+		"mu":                             -5.625220,
+		"multiplicationMatrices":         -6.723832,
+		"n":                              -6.723832,
+		"newPackage":                     -6.723832,
+		"not":                            -6.030685,
+		"of":                             -4.644391,
+		"option":                         -6.723832,
+		"or":                             -6.030685,
+		"program":                        -6.030685,
+		"program.":                       -6.723832,
+		"published":                      -6.723832,
+		"received":                       -6.723832,
+		"redistribute":                   -6.723832,
+		"see":                            -6.723832,
+		"should":                         -6.723832,
+		"software":                       -6.723832,
+		"sub":                            -6.723832,
+		"sum":                            -6.723832,
+		"terms":                          -6.723832,
+		"that":                           -6.723832,
+		"the":                            -4.526608,
+		"then":                           -6.723832,
+		"this":                           -6.723832,
+		"to":                             -6.723832,
+		"transpose":                      -6.723832,
+		"true":                           -6.723832,
+		"under":                          -6.723832,
+		"useful":                         -6.723832,
+		"v":                              -6.723832,
+		"values":                         -6.723832,
+		"version":                        -6.723832,
+		"version.":                       -6.723832,
+		"w":                              -5.625220,
+		"warranty":                       -6.723832,
+		"will":                           -6.723832,
+		"with":                           -6.723832,
+		"without":                        -6.723832,
+		"x":                              -4.777922,
+		"y":                              -4.421247,
+		"you":                            -6.723832,
+		"your":                           -6.723832,
+		"z":                              -4.015782,
+		"{":                              -4.932073,
+		"}":                              -4.932073,
+	},
 	"Makefile": map[string]float64{
 		"!":                              -5.800001,
 		"#":                              -8.102586,
@@ -94751,6 +99443,102 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"“":                                    -4.870535,
 		"”":                                    -4.870535,
 	},
+	"Mustache": map[string]float64{
+		"#eplocation":            -5.863631,
+		"#eppupilgroup":          -5.863631,
+		"#error":                 -5.863631,
+		"#info":                  -5.863631,
+		"*":                      -5.863631,
+		",":                      -3.561046,
+		".":                      -5.170484,
+		"/eplocation":            -5.863631,
+		"/eppupilgroup":          -5.863631,
+		"/error":                 -5.863631,
+		"/h":                     -5.170484,
+		"/info":                  -5.863631,
+		"2":                      -4.477337,
+		":":                      -4.254193,
+		"<":                      -4.477337,
+		"</a>":                   -4.254193,
+		"</button>":              -5.863631,
+		"</div>":                 -4.071872,
+		"</form>":                -5.863631,
+		"</i>":                   -5.170484,
+		"</label>":               -5.863631,
+		"</p>":                   -3.561046,
+		"<a>":                    -4.254193,
+		"<button>":               -5.863631,
+		"<div>":                  -4.071872,
+		"<form>":                 -5.863631,
+		"<i>":                    -5.170484,
+		"<input>":                -4.071872,
+		"<label>":                -5.863631,
+		"<p>":                    -3.561046,
+		">":                      -4.477337,
+		"Cancel":                 -5.863631,
+		"Change":                 -5.170484,
+		"Delete":                 -5.863631,
+		"I":                      -5.863631,
+		"Log":                    -5.170484,
+		"Logged":                 -5.170484,
+		"Permanently":            -5.863631,
+		"PrettyCurrentLocation":  -5.170484,
+		"PrettyHomeLocation":     -5.170484,
+		"Submit":                 -5.863631,
+		"YourCurrentLocation":    -5.170484,
+		"YourHomeLocation":       -5.170484,
+		"action=":                -5.863631,
+		"alert":                  -5.170484,
+		"and":                    -5.863631,
+		"any":                    -5.863631,
+		"as":                     -5.170484,
+		"border":                 -5.170484,
+		"btn":                    -5.170484,
+		"center":                 -5.170484,
+		"check":                  -5.863631,
+		"class=":                 -3.561046,
+		"confirmedby":            -5.863631,
+		"confirmedtime":          -5.863631,
+		"currentlocationdisplay": -5.863631,
+		"danger":                 -5.170484,
+		"delete":                 -5.170484,
+		"disabled":               -5.863631,
+		"familyname":             -4.765019,
+		"groupdisplay":           -5.863631,
+		"h":                      -5.170484,
+		"history.":               -5.863631,
+		"homelocationdisplay":    -5.863631,
+		"href=":                  -4.254193,
+		"in":                     -5.170484,
+		"info":                   -5.863631,
+		"knownas":                -4.765019,
+		"light":                  -5.863631,
+		"mb":                     -5.863631,
+		"method=":                -5.863631,
+		"mt":                     -5.170484,
+		"name=":                  -4.071872,
+		"out":                    -5.170484,
+		"password":               -5.170484,
+		"permanently":            -5.863631,
+		"pt":                     -5.863631,
+		"pupilid":                -5.863631,
+		"required":               -5.863631,
+		"setbydisplay":           -5.863631,
+		"statuschangetime":       -5.863631,
+		"text":                   -5.170484,
+		"that":                   -5.863631,
+		"the":                    -5.863631,
+		"this":                   -5.863631,
+		"top":                    -5.170484,
+		"type=":                  -3.917721,
+		"understand":             -5.863631,
+		"user":                   -4.765019,
+		"usernamedisplay":        -5.170484,
+		"value=":                 -4.071872,
+		"will":                   -5.863631,
+		"{":                      -1.644123,
+		"}":                      -1.644123,
+	},
 	"NASL": map[string]float64{
 		"!":                                  -4.792329,
 		"#":                                  -8.106515,
@@ -96497,6 +101285,342 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":                              -7.570701,
 		"}":                              -7.753023,
 	},
+	"NEON": map[string]float64{
+		"%":                                    -3.748916,
+		"(":                                    -2.863084,
+		")":                                    -2.863084,
+		",":                                    -4.493356,
+		"-":                                    -2.926936,
+		"../stubs/ArrayObject.stub":            -7.437795,
+		"../stubs/PDOStatement.stub":           -7.437795,
+		"../stubs/ReflectionClass.stub":        -7.437795,
+		"../stubs/WeakReference.stub":          -7.437795,
+		"../stubs/ext":                         -7.437795,
+		"../stubs/iterable.stub":               -7.437795,
+		"/.memory_limit":                       -7.437795,
+		"/cache/PHPStan":                       -7.437795,
+		"/resultCache.php":                     -7.437795,
+		":":                                    -1.608850,
+		"@PHPStan":                             -6.339183,
+		"@PhpParser":                           -7.437795,
+		"@betterReflectionProvider":            -7.437795,
+		"@brokerFactory":                       -7.437795,
+		"@directParser":                        -7.437795,
+		"@phpParserDecorator":                  -6.744648,
+		"@phpParserReflectionProvider":         -7.437795,
+		"@regexGrammarStream":                  -7.437795,
+		"@runtimeReflectionProvider":           -7.437795,
+		"@simpleRelativePathHelper":            -5.828357,
+		"@typeSpecifierFactory":                -7.437795,
+		"Analyser":                             -5.039900,
+		"AnonymousClassNameHelper":             -7.437795,
+		"BaselineNeonErrorFormatter":           -7.437795,
+		"BetterReflection":                     -6.744648,
+		"BetterReflectionProviderFactory":      -7.437795,
+		"BetterReflectionSourceLocatorFactory": -7.437795,
+		"Broker":                               -5.646036,
+		"BrokerFactory":                        -7.437795,
+		"BuilderFactory":                       -7.437795,
+		"Cache":                                -7.437795,
+		"CachedParser":                         -7.437795,
+		"CheckstyleErrorFormatter":             -7.437795,
+		"ClassWhitelistReflectionProvider":     -7.437795,
+		"Command":                              -5.358354,
+		"Compiler":                             -6.744648,
+		"ConditionalTagsExtension":             -7.437795,
+		"DEFAULT_INCLUDE_PATH":                 -7.437795,
+		"Dave":                                 -7.437795,
+		"DependencyInjection":                  -6.051501,
+		"DerivativeContainerFactory":           -7.437795,
+		"DirectParser":                         -7.437795,
+		"Emulative":                            -7.437795,
+		"ErrorFormatter":                       -5.358354,
+		"Europe/Prague":                        -7.437795,
+		"File":                                 -5.828357,
+		"FileAnalyser":                         -7.437795,
+		"FileCacheStorage":                     -7.437795,
+		"FunctionCallParametersCheck":          -7.437795,
+		"FunctionDefinitionCheck":              -7.437795,
+		"FuzzyRelativePathHelper":              -7.437795,
+		"GitlabErrorFormatter":                 -7.437795,
+		"Hoa":                                  -6.339183,
+		"ICONV_IMPL":                           -7.437795,
+		"IgnoredErrorHelper":                   -7.437795,
+		"JsonErrorFormatter":                   -6.744648,
+		"JunitErrorFormatter":                  -7.437795,
+		"Kryten":                               -7.437795,
+		"LIBXML_DOTTED_VERSION":                -7.437795,
+		"LIBXML_VERSION":                       -7.437795,
+		"LazyScopeFactory":                     -7.437795,
+		"LazyTypeNodeResolverExtensionRegistryProvider": -7.437795,
+		"Lexer": -6.339183,
+		"Llk":   -6.339183,
+		"Mixin": -7.437795,
+		"MixinPropertiesClassReflectionExtension": -7.437795,
+		"MutatingScope":                        -7.437795,
+		"NameResolver":                         -6.744648,
+		"NodeScopeResolver":                    -7.437795,
+		"NodeTraverser":                        -7.437795,
+		"NodeVisitor":                          -6.744648,
+		"PEAR_EXTENSION_DIR":                   -7.437795,
+		"PEAR_INSTALL_DIR":                     -7.437795,
+		"PHPStan":                              -3.394744,
+		"PHP_BINARY":                           -7.437795,
+		"PHP_BINDIR":                           -7.437795,
+		"PHP_CONFIG_FILE_PATH":                 -7.437795,
+		"PHP_CONFIG_FILE_SCAN_DIR":             -7.437795,
+		"PHP_DATADIR":                          -7.437795,
+		"PHP_DEBUG":                            -7.437795,
+		"PHP_EOL":                              -7.437795,
+		"PHP_EXTENSION_DIR":                    -7.437795,
+		"PHP_EXTRA_VERSION":                    -7.437795,
+		"PHP_FD_SETSIZE":                       -7.437795,
+		"PHP_FLOAT_DIG":                        -7.437795,
+		"PHP_FLOAT_EPSILON":                    -7.437795,
+		"PHP_FLOAT_MAX":                        -7.437795,
+		"PHP_FLOAT_MIN":                        -7.437795,
+		"PHP_INT_MAX":                          -7.437795,
+		"PHP_INT_MIN":                          -7.437795,
+		"PHP_INT_SIZE":                         -7.437795,
+		"PHP_LIBDIR":                           -7.437795,
+		"PHP_LOCALSTATEDIR":                    -7.437795,
+		"PHP_MAJOR_VERSION":                    -7.437795,
+		"PHP_MANDIR":                           -7.437795,
+		"PHP_MAXPATHLEN":                       -7.437795,
+		"PHP_MINOR_VERSION":                    -7.437795,
+		"PHP_OS":                               -7.437795,
+		"PHP_OS_FAMILY":                        -7.437795,
+		"PHP_PREFIX":                           -7.437795,
+		"PHP_RELEASE_VERSION":                  -7.437795,
+		"PHP_SAPI":                             -7.437795,
+		"PHP_SHLIB_SUFFIX":                     -7.437795,
+		"PHP_SYSCONFDIR":                       -7.437795,
+		"PHP_VERSION":                          -7.437795,
+		"PHP_VERSION_ID":                       -7.437795,
+		"PHP_ZTS":                              -7.437795,
+		"Parallel":                             -7.437795,
+		"ParametersSchemaExtension":            -7.437795,
+		"Parser":                               -5.491885,
+		"Php":                                  -6.051501,
+		"PhpClassReflectionExtension":          -7.437795,
+		"PhpDefect":                            -7.437795,
+		"PhpDefectClassReflectionExtension":    -7.437795,
+		"PhpDoc":                               -5.491885,
+		"PhpDocParser":                         -7.437795,
+		"PhpMethodReflectionFactory":           -7.437795,
+		"PhpParser":                            -5.646036,
+		"PhpParserDecorator":                   -7.437795,
+		"PrettyPrinter":                        -7.437795,
+		"RawErrorFormatter":                    -7.437795,
+		"Read":                                 -7.437795,
+		"Reflection":                           -4.952888,
+		"ReflectionProvider":                   -6.051501,
+		"ReflectionProviderFactory":            -6.744648,
+		"Registry":                             -7.437795,
+		"RegistryFactory":                      -7.437795,
+		"RelativePathHelper":                   -6.744648,
+		"ResultCache":                          -7.437795,
+		"ResultCacheManager":                   -7.437795,
+		"Rimmer":                               -7.437795,
+		"Rules":                                -6.051501,
+		"RulesExtension":                       -7.437795,
+		"Runtime":                              -7.437795,
+		"RuntimeReflectionProvider":            -7.437795,
+		"Scheduler":                            -7.437795,
+		"ScopeFactory":                         -7.437795,
+		"SimpleRelativePathHelper":             -7.437795,
+		"Standard":                             -7.437795,
+		"StubPhpDocProvider":                   -7.437795,
+		"StubValidator":                        -7.437795,
+		"TableErrorFormatter":                  -7.437795,
+		"TypeAlias":                            -7.437795,
+		"TypeAliasesTypeNodeResolverExtension": -7.437795,
+		"TypeNodeResolver":                     -7.437795,
+		"TypeNodeResolverExtensionRegistryProvider": -7.437795,
+		"TypeSpecifier":        -7.437795,
+		"TypeSpecifierFactory": -7.437795,
+		"TypeStringResolver":   -7.437795,
+		"UniversalObjectCratesClassReflectionExtension": -7.437795,
+		"[":                                    -4.729745,
+		"\\":                                   -2.350199,
+		"]":                                    -4.729745,
+		"addVisitor":                           -7.437795,
+		"additionalConfigFiles":                -6.339183,
+		"aliases":                              -7.437795,
+		"allCustomConfigFiles":                 -5.828357,
+		"analysedPaths":                        -6.339183,
+		"analysedPathsFromConfig":              -6.339183,
+		"anyOf":                                -6.744648,
+		"arguments":                            -3.941288,
+		"arrayOf":                              -6.744648,
+		"autoloadDirectories":                  -7.437795,
+		"autoload_directories":                 -6.339183,
+		"autoload_files":                       -6.744648,
+		"autowired":                            -5.646036,
+		"beruska":                              -7.437795,
+		"bool":                                 -4.442063,
+		"bootstrap":                            -6.744648,
+		"broker":                               -7.437795,
+		"brokerFactory":                        -7.437795,
+		"buffer":                               -6.744648,
+		"cache":                                -6.744648,
+		"cache.nodesByFileCountMax":            -7.437795,
+		"cacheFilePath":                        -7.437795,
+		"cacheStorage":                         -7.437795,
+		"cachedNodesByFileCountMax":            -7.437795,
+		"checkAlwaysTrueCheckTypeFunctionCall": -6.744648,
+		"checkAlwaysTrueInstanceof":            -6.744648,
+		"checkAlwaysTrueStrictComparison":      -7.437795,
+		"checkArgumentTypes":                   -7.437795,
+		"checkArgumentsPassedByReference":      -7.437795,
+		"checkClassCaseSensitivity":            -6.339183,
+		"checkExplicitMixedMissingReturn":      -7.437795,
+		"checkExtraArguments":                  -6.339183,
+		"checkFunctionArgumentTypes":           -6.744648,
+		"checkFunctionNameCase":                -7.437795,
+		"checkGenericClassInNonGenericObjectType":            -7.437795,
+		"checkMaybeUndefinedVariables":                       -7.437795,
+		"checkMissingClosureNativeReturnTypehintRule":        -7.437795,
+		"checkMissingIterableValueType":                      -7.437795,
+		"checkMissingTypehints":                              -7.437795,
+		"checkMissingVarTagTypehint":                         -7.437795,
+		"checkNullables":                                     -7.437795,
+		"checkPhpDocMissingReturn":                           -7.437795,
+		"checkThisOnly":                                      -7.437795,
+		"checkTooWideReturnTypesInProtectedAndPublicMethods": -7.437795,
+		"checkUnionTypes":                                    -7.437795,
+		"class":                                              -3.467503,
+		"classes":                                            -7.437795,
+		"cliArgumentsVariablesRegistered":                    -7.437795,
+		"cliAutoloadFile":                                    -7.437795,
+		"closureUsesThis":                                    -6.744648,
+		"composerAutoloaderProjectPaths":                     -5.828357,
+		"conditionalTags":                                    -7.437795,
+		"count":                                              -7.437795,
+		"create":                                             -6.051501,
+		"currentWorkingDirectory":                            -5.491885,
+		"database":                                           -7.437795,
+		"date.timezone":                                      -7.437795,
+		"debugMode":                                          -7.437795,
+		"directParser":                                       -7.437795,
+		"directory":                                          -7.437795,
+		"disableRobotLoader":                                 -6.744648,
+		"disableRuntimeReflectionProvider":                   -6.744648,
+		"driver":                                             -7.437795,
+		"ds.stub":                                            -7.437795,
+		"dynamicConstantNames":                               -7.437795,
+		"earlyTerminatingFunctionCalls":                      -6.051501,
+		"earlyTerminatingMethodCalls":                        -6.744648,
+		"enableScanningPaths":                                -6.744648,
+		"enableStaticReflectionForPhpParser":                 -7.437795,
+		"errorFormatter.baselineNeon":                        -7.437795,
+		"errorFormatter.checkstyle":                          -7.437795,
+		"errorFormatter.gitlab":                              -7.437795,
+		"errorFormatter.json":                                -7.437795,
+		"errorFormatter.junit":                               -7.437795,
+		"errorFormatter.prettyJson":                          -7.437795,
+		"errorFormatter.raw":                                 -7.437795,
+		"errorFormatter.table":                               -7.437795,
+		"excludes_analyse":                                   -6.744648,
+		"extensions":                                         -7.437795,
+		"factory":                                            -5.358354,
+		"false":                                              -3.911435,
+		"featureToggles":                                     -6.744648,
+		"featureToggles.staticReflectionForPhpParser":        -7.437795,
+		"fileExtensions":                                     -6.744648,
+		"float":                                              -7.437795,
+		"ignoreErrors":                                       -6.051501,
+		"implement":                                          -6.744648,
+		"inferPrivatePropertyTypeFromConstructor":            -6.339183,
+		"int":                                    -5.240571,
+		"internalErrorsCountLimit":               -6.051501,
+		"jobSize":                                -6.339183,
+		"level":                                  -6.744648,
+		"listOf":                                 -4.604582,
+		"load":                                   -7.437795,
+		"maximumNumberOfProcesses":               -6.744648,
+		"memoryLimitFile":                        -7.437795,
+		"message":                                -6.339183,
+		"minimumNumberOfJobsPerProcess":          -6.339183,
+		"mixinExcludeClasses":                    -6.051501,
+		"mysql":                                  -7.437795,
+		"no":                                     -6.339183,
+		"nodesByFileCountMax":                    -6.744648,
+		"nodesByStringCountMax":                  -6.744648,
+		"null":                                   -6.744648,
+		"nullCoalesce":                           -6.744648,
+		"nullable":                               -6.339183,
+		"number":                                 -7.437795,
+		"originalParser":                         -7.437795,
+		"parallel":                               -6.744648,
+		"parallel.jobSize":                       -7.437795,
+		"parallel.minimumNumberOfJobsPerProcess": -7.437795,
+		"parameters":                             -7.437795,
+		"parametersSchema":                       -6.744648,
+		"parser":                                 -6.744648,
+		"password":                               -7.437795,
+		"path":                                   -6.744648,
+		"paths":                                  -6.339183,
+		"patterns":                               -7.437795,
+		"php":                                    -6.744648,
+		"phpParserDecorator":                     -7.437795,
+		"phpParserReflectionProvider":            -6.744648,
+		"phpstan.broker.propertiesClassReflectionExtension": -6.744648,
+		"phpstan.phpDoc.typeNodeResolverExtension":          -7.437795,
+		"polluteCatchScopeWithTryAssignments":               -6.744648,
+		"polluteScopeWithAlwaysIterableForeach":             -6.051501,
+		"polluteScopeWithLoopInitialAssignments":            -6.051501,
+		"pretty":                                            -6.744648,
+		"processTimeout":                                    -6.744648,
+		"productionMode":                                    -7.437795,
+		"randomIntParameters":                               -6.744648,
+		"reflectionProvider":                                -6.744648,
+		"reflectionProviderFactory":                         -7.437795,
+		"regexGrammarStream":                                -7.437795,
+		"regexParser":                                       -7.437795,
+		"registry":                                          -7.437795,
+		"relativePathHelper":                                -5.646036,
+		"reportMagicMethods":                                -6.744648,
+		"reportMagicProperties":                             -6.744648,
+		"reportMaybes":                                      -7.437795,
+		"reportMaybesInMethodSignatures":                    -7.437795,
+		"reportStaticMethodSignatures":                      -6.744648,
+		"reportUnmatchedIgnoredErrors":                      -6.051501,
+		"root":                                              -7.437795,
+		"rootDir":                                           -7.437795,
+		"rules":                                             -7.437795,
+		"runtimeReflectionProvider":                         -6.744648,
+		"scalar":                                            -7.437795,
+		"schema":                                            -6.339183,
+		"scopeClass":                                        -6.051501,
+		"services":                                          -7.437795,
+		"setup":                                             -7.437795,
+		"showTipsOfTheDay":                                  -7.437795,
+		"simpleRelativePathHelper":                          -7.437795,
+		"staticReflectionClassNamePatterns":                 -6.744648,
+		"staticReflectionForPhpParser":                      -6.744648,
+		"stdClass":                                          -7.437795,
+		"streamName":                                        -7.437795,
+		"string":                                            -3.972059,
+		"structure":                                         -5.646036,
+		"stubFiles":                                         -5.646036,
+		"stubPhpDocProvider":                                -7.437795,
+		"tags":                                              -6.744648,
+		"tempDir":                                           -7.437795,
+		"tipsOfTheDay":                                      -6.744648,
+		"tmpDir":                                            -6.051501,
+		"treatPhpDocTypesAsCertain":                         -6.744648,
+		"true":                                              -5.240571,
+		"typeAliases":                                       -6.339183,
+		"typeSpecifier":                                     -7.437795,
+		"typeSpecifierFactory":                              -7.437795,
+		"universalObjectCratesClasses":                      -6.339183,
+		"usedLevel":                                         -6.339183,
+		"username":                                          -7.437795,
+		"users":                                             -7.437795,
+		"wrappedParser":                                     -7.437795,
+		"zlib.output_compression":                           -7.437795,
+	},
 	"NL": map[string]float64{
 		"-": -0.279485,
 		"C": -2.545994,
@@ -96808,6 +101932,310 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":                       -4.940451,
 		"}":                       -4.429626,
 	},
+	"NWScript": map[string]float64{
+		"!":         -5.514436,
+		"\"":        -6.900731,
+		"#include":  -6.207583,
+		"&&":        -5.802118,
+		"'":         -6.207583,
+		"(":         -2.423394,
+		")":         -2.458079,
+		"*snivvel*": -6.900731,
+		"*whimper*": -6.900731,
+		"*will*":    -6.900731,
+		",":         -2.741848,
+		"-":         -4.821289,
+		".":         -6.900731,
+		"/":         -4.821289,
+		"//":        -3.604894,
+		"////////////////////////////////////////////":  -6.900731,
+		"/////////////////////////////////////////////": -4.821289,
+		"//Declare":                     -6.900731,
+		"//Kick":                        -6.900731,
+		"//Only":                        -6.900731,
+		"//Spawn":                       -6.900731,
+		":":                             -2.696038,
+		";":                             -3.139531,
+		"=":                             -3.289813,
+		"ANIMATION_LOOPING_SIT_CROSS":   -6.900731,
+		"AOE_PER_WEB":                   -6.900731,
+		"ActionAttack":                  -6.207583,
+		"ActionPlayAnimation":           -6.900731,
+		"Added":                         -6.900731,
+		"AdjustReputation":              -6.900731,
+		"After":                         -6.900731,
+		"Apply":                         -6.900731,
+		"ApplyEffectAtLocation":         -6.900731,
+		"ApplyEffectToObject":           -6.207583,
+		"AssignCommand":                 -5.108971,
+		"Award":                         -6.900731,
+		"CLEAR_X":                       -6.900731,
+		"CREATURE_TYPE_PLAYER_CHAR":     -6.207583,
+		"Charmed":                       -6.207583,
+		"ClearAllActions":               -6.900731,
+		"Created":                       -5.802118,
+		"Creature":                      -6.207583,
+		"Crragtail":                     -6.900731,
+		"Crragtail...":                  -6.900731,
+		"CrragtailSpokenCharmedLine":    -6.900731,
+		"CrragtailSpokenScaredLine":     -6.207583,
+		"CutsceneInvisibility":          -6.900731,
+		"DURATION_TYPE_INSTANT":         -6.900731,
+		"DURATION_TYPE_PERMANENT":       -6.900731,
+		"DURATION_TYPE_TEMPORARY":       -6.900731,
+		"Default":                       -6.900731,
+		"DelayCommand":                  -6.900731,
+		"DestroyObject":                 -6.900731,
+		"Editor":                        -6.207583,
+		"EffectAreaOfEffect":            -6.900731,
+		"EffectVisualEffect":            -5.802118,
+		"Encounter":                     -6.207583,
+		"ExtraordinaryEffect":           -6.900731,
+		"FALSE":                         -4.703506,
+		"Filename":                      -5.108971,
+		"FloatingTextStringOnCreature":  -6.900731,
+		"Gardner":                       -5.802118,
+		"Generator":                     -6.900731,
+		"GetEnteringObject":             -6.207583,
+		"GetFirstFactionMember":         -6.207583,
+		"GetIsObjectValid":              -6.207583,
+		"GetIsPC":                       -5.802118,
+		"GetLastUnlocked":               -6.207583,
+		"GetLocalInt":                   -5.108971,
+		"GetLocation":                   -6.900731,
+		"GetNearestCreature":            -6.207583,
+		"GetNextFactionMember":          -6.207583,
+		"GetObjectByTag":                -5.291293,
+		"GiveXPToCreature":              -6.900731,
+		"Image":                         -6.900731,
+		"Initially":                     -6.900731,
+		"John":                          -5.802118,
+		"Knock":                         -6.900731,
+		"Kobold":                        -6.900731,
+		"Kobolds":                       -6.900731,
+		"Lilac":                         -6.900731,
+		"Lilarcor":                      -6.900731,
+		"Manually":                      -6.207583,
+		"NWN":                           -6.900731,
+		"OBJECT_SELF":                   -4.415824,
+		"On":                            -6.207583,
+		"OnDeath":                       -6.900731,
+		"OnDisarm":                      -6.900731,
+		"OnEnter":                       -6.207583,
+		"OnSpawn":                       -6.900731,
+		"OnUnlocked":                    -6.900731,
+		"P":                             -6.900731,
+		"PC":                            -5.291293,
+		"PC.":                           -6.900731,
+		"PLAYER_CHAR_IS_PC":             -6.207583,
+		"PM":                            -5.514436,
+		"Phantasm":                      -6.900731,
+		"Phantasmal":                    -6.900731,
+		"Placable":                      -6.900731,
+		"PlaySound":                     -6.900731,
+		"RespondToShout":                -6.900731,
+		"Scared":                        -6.207583,
+		"Script":                        -4.821289,
+		"Scripted":                      -5.802118,
+		"SendMessageToPC":               -6.900731,
+		"SetCustomToken":                -6.207583,
+		"SetLocalInt":                   -5.514436,
+		"Shaman":                        -6.900731,
+		"ShoutDisturbed":                -6.900731,
+		"Soul":                          -6.900731,
+		"Spider":                        -6.900731,
+		"TRUE":                          -5.291293,
+		"They":                          -6.900731,
+		"This":                          -6.900731,
+		"Trigger":                       -6.900731,
+		"UPDATE":                        -6.900731,
+		"VFX":                           -6.900731,
+		"VFX_COM_BLOOD_LRG_GREEN":       -6.900731,
+		"VFX_DUR_CUTSCENE_INVISIBILITY": -6.900731,
+		"VFX_DUR_FREEZE_ANIMATION":      -6.900731,
+		"While":                         -6.900731,
+		"With":                          -6.207583,
+		"Written":                       -6.207583,
+		"XP":                            -5.514436,
+		"You":                           -6.900731,
+		"_INC_HENAI_RespondToShout":     -6.900731,
+		"a":                             -4.598146,
+		"act_disarmkobold":              -6.900731,
+		"act_disarmkobold.nss":          -6.900731,
+		"act_unlockkobold":              -6.900731,
+		"act_unlockkobold.nss":          -6.900731,
+		"add":                           -6.900731,
+		"addition":                      -6.900731,
+		"and":                           -6.900731,
+		"anybody":                       -6.900731,
+		"arouse":                        -6.900731,
+		"as":                            -6.900731,
+		"at":                            -6.900731,
+		"attack":                        -5.514436,
+		"award":                         -6.900731,
+		"awards":                        -6.900731,
+		"be":                            -6.900731,
+		"before":                        -6.900731,
+		"belongings":                    -6.900731,
+		"belongings.*/":                 -6.900731,
+		"belongings...":                 -6.900731,
+		"blade":                         -6.900731,
+		"bodies":                        -6.900731,
+		"by":                            -5.802118,
+		"casting":                       -6.900731,
+		"certain":                       -6.900731,
+		"character":                     -6.207583,
+		"charmed":                       -6.900731,
+		"chest":                         -5.514436,
+		"clicking":                      -6.900731,
+		"considered":                    -6.900731,
+		"controlled":                    -6.900731,
+		"crawler_death":                 -6.900731,
+		"crawler_death.nss":             -6.900731,
+		"custom":                        -6.900731,
+		"defined":                       -6.900731,
+		"determine":                     -6.900731,
+		"disarmed":                      -6.900731,
+		"disarms":                       -6.900731,
+		"distance":                      -6.207583,
+		"disturbs":                      -6.900731,
+		"e":                             -5.514436,
+		"eAOEWeb":                       -6.207583,
+		"eSpiderweb":                    -6.207583,
+		"eVFXAnimFreeze":                -6.900731,
+		"eVFXGreenSlime":                -6.207583,
+		"effect":                        -5.291293,
+		"encounters":                    -6.900731,
+		"enough":                        -6.900731,
+		"ensures":                       -6.900731,
+		"faint":                         -6.900731,
+		"fighting":                      -6.900731,
+		"for":                           -6.900731,
+		"friend":                        -6.900731,
+		"from":                          -5.514436,
+		"functions":                     -6.900731,
+		"gain":                          -6.900731,
+		"giving":                        -6.900731,
+		"have":                          -6.900731,
+		"he":                            -6.900731,
+		"him/her":                       -6.900731,
+		"his":                           -6.900731,
+		"however":                       -6.900731,
+		"hurts":                         -6.900731,
+		"if":                            -4.821289,
+		"illusion_spawn":                -6.900731,
+		"illusion_spawn.nss":            -6.900731,
+		"in":                            -6.207583,
+		"int":                           -6.900731,
+		"it.":                           -6.900731,
+		"j":                             -6.900731,
+		"just":                          -6.900731,
+		"k_tribehealer":                 -6.900731,
+		"kobold":                        -6.207583,
+		"lLocation":                     -6.207583,
+		"last":                          -6.900731,
+		"like":                          -6.900731,
+		"ll":                            -6.900731,
+		"local":                         -6.900731,
+		"location":                      -6.900731,
+		"magic":                         -6.900731,
+		"main":                          -5.291293,
+		"major":                         -6.900731,
+		"minded":                        -6.900731,
+		"minor":                         -6.900731,
+		"module.*/":                     -6.900731,
+		"nOneShot":                      -6.900731,
+		"not":                           -6.900731,
+		"not.":                          -6.900731,
+		"oActionSubject":                -6.900731,
+		"oChest":                        -6.207583,
+		"oKobold":                       -4.415824,
+		"oPC":                           -4.128142,
+		"oQuallo":                       -6.207583,
+		"oShaman":                       -5.291293,
+		"oTribeFaction":                 -5.802118,
+		"object":                        -4.415824,
+		"of":                            -6.207583,
+		"off":                           -6.900731,
+		"on":                            -6.207583,
+		"once.":                         -6.900731,
+		"only":                          -6.207583,
+		"open":                          -6.900731,
+		"or":                            -6.900731,
+		"pc_plaguestack":                -6.900731,
+		"pc_plaguestack.nss":            -6.900731,
+		"pc_spidersnest":                -6.900731,
+		"pc_spidersnest.nss":            -6.900731,
+		"person":                        -6.900731,
+		"piles":                         -6.900731,
+		"pilfering":                     -6.900731,
+		"player":                        -5.108971,
+		"please":                        -6.900731,
+		"poor":                          -6.900731,
+		"pre":                           -6.900731,
+		"pretty":                        -6.900731,
+		"prevents":                      -6.900731,
+		"promptly":                      -6.900731,
+		"q":                             -6.900731,
+		"rage.":                         -6.900731,
+		"reaches":                       -6.900731,
+		"realize":                       -6.900731,
+		"removed":                       -6.207583,
+		"rising":                        -6.900731,
+		"s":                             -4.821289,
+		"script":                        -5.802118,
+		"secretely":                     -6.900731,
+		"see":                           -6.900731,
+		"set":                           -6.900731,
+		"sewer":                         -6.900731,
+		"shinies":                       -6.900731,
+		"slowly":                        -6.900731,
+		"sounds":                        -6.207583,
+		"spawn":                         -6.900731,
+		"spells":                        -6.900731,
+		"stacked":                       -6.900731,
+		"strongbox":                     -6.900731,
+		"t":                             -5.802118,
+		"take":                          -6.900731,
+		"takes":                         -6.900731,
+		"that":                          -6.207583,
+		"the":                           -4.192680,
+		"their":                         -5.802118,
+		"they":                          -6.207583,
+		"this":                          -5.802118,
+		"throne":                        -6.900731,
+		"to":                            -6.207583,
+		"tokens":                        -6.900731,
+		"tries":                         -6.900731,
+		"trustworthy":                   -6.900731,
+		"unlock":                        -6.900731,
+		"unlocked":                      -6.900731,
+		"unlocking":                     -6.900731,
+		"unlocks":                       -6.900731,
+		"useless":                       -6.900731,
+		"using":                         -6.900731,
+		"v":                             -6.900731,
+		"variable":                      -6.900731,
+		"variables":                     -6.900731,
+		"via":                           -6.900731,
+		"void":                          -5.291293,
+		"was":                           -6.207583,
+		"waters.":                       -6.900731,
+		"waypoint":                      -6.900731,
+		"were":                          -6.900731,
+		"when":                          -6.900731,
+		"while":                         -6.207583,
+		"who":                           -6.900731,
+		"whoever":                       -6.900731,
+		"will":                          -6.900731,
+		"with":                          -6.900731,
+		"within":                        -6.900731,
+		"won":                           -6.207583,
+		"yip":                           -6.900731,
+		"{":                             -4.502835,
+		"||":                            -6.900731,
+		"}":                             -4.261673,
+	},
 	"Nearley": map[string]float64{
 		"#string":            -5.613128,
 		"(":                  -4.226834,
@@ -97611,176 +103039,189 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"~":                           -6.805723,
 	},
 	"Nginx": map[string]float64{
-		"#add_header":                 -6.246107,
-		"#fastcgi_param":              -6.246107,
-		"$":                           -3.110613,
-		"(":                           -3.848211,
-		")":                           -3.848211,
-		"*":                           -5.147494,
-		"+":                           -4.859812,
-		"-":                           -4.300197,
-		".":                           -4.859812,
-		".php":                        -4.859812,
-		"/":                           -4.300197,
-		"/*":                          -6.246107,
-		"/.":                          -6.246107,
-		"/.*":                         -6.246107,
-		"//":                          -5.552960,
-		"//big_server_com":            -6.246107,
-		"//example.com":               -6.246107,
-		"/etc/nginx/fastcgi.conf":     -6.246107,
-		"/etc/nginx/proxy.conf":       -6.246107,
-		"/etc/ssl/certs/dhparam.pem":  -6.246107,
-		"/phpMyAdmin":                 -6.246107,
-		"/phpmyadmin":                 -5.552960,
-		"/phpmyadmin/":                -5.552960,
-		"/purge":                      -6.246107,
-		"/srv/www/example.com/htdocs": -6.246107,
-		"/srv/www/example.com/ssl/example.com.crt": -6.246107,
-		"/srv/www/example.com/ssl/example.com.key": -6.246107,
-		"/srv/www/example.com/ssl/unified":         -6.246107,
-		"/usr/share/":                              -5.147494,
-		"/var/log/nginx/example.com.access.log":    -6.246107,
-		"/var/log/nginx/example.com.error.log":     -6.246107,
-		"/var/run/example.com.sock":                -5.552960,
-		"/var/www/virtual/big.server.com/htdocs":   -6.246107,
-		"/wp":                                      -6.246107,
-		":":                                        -3.607049,
-		";":                                        -1.780199,
-		"=":                                        -4.454347,
-		"HTTPS":                                    -6.246107,
-		"PATH_INFO":                                -6.246107,
-		"SSL":                                      -6.246107,
-		"Security":                                 -6.246107,
-		"Strict":                                   -6.246107,
-		"TLSv":                                     -5.147494,
-		"Transport":                                -6.246107,
-		"UTF":                                      -6.246107,
-		"WORDPRESS":                                -5.552960,
-		"[":                                        -6.246107,
-		"\\":                                       -4.636669,
-		"]":                                        -6.246107,
-		"^":                                        -4.454347,
-		"access.log":                               -5.552960,
-		"access_log":                               -4.636669,
-		"admin":                                    -6.246107,
-		"age":                                      -6.246107,
-		"application/octet":                        -6.246107,
-		"autoindex":                                -6.246107,
-		"bad_method":                               -6.246107,
-		"big.server.com":                           -6.246107,
-		"big_server_com":                           -6.246107,
-		"charset":                                  -6.246107,
-		"com":                                      -4.859812,
-		"conf/mime.types":                          -6.246107,
-		"css":                                      -5.552960,
-		"d":                                        -6.246107,
-		"default_type":                             -6.246107,
-		"domain":                                   -5.552960,
-		"error_log":                                -5.552960,
-		"events":                                   -6.246107,
-		"example.com":                              -6.246107,
-		"expires":                                  -6.246107,
-		"fastcgi.conf":                             -5.552960,
-		"fastcgi_cache":                            -6.246107,
-		"fastcgi_cache_bypass":                     -6.246107,
-		"fastcgi_cache_purge":                      -6.246107,
-		"fastcgi_cache_valid":                      -6.246107,
-		"fastcgi_index":                            -5.552960,
-		"fastcgi_no_cache":                         -6.246107,
-		"fastcgi_param":                            -6.246107,
-		"fastcgi_pass":                             -5.147494,
-		"fastcgi_path_info":                        -6.246107,
-		"fastcgi_script_name":                      -6.246107,
-		"fastcgi_split_path_info":                  -6.246107,
-		"flash":                                    -6.246107,
-		"gif":                                      -6.246107,
-		"host":                                     -6.246107,
-		"html":                                     -5.552960,
-		"http":                                     -5.147494,
-		"http_cookie":                              -6.246107,
-		"ico":                                      -6.246107,
-		"if":                                       -5.147494,
-		"images":                                   -6.246107,
-		"include":                                  -4.454347,
-		"index":                                    -5.147494,
-		"index.htm":                                -5.147494,
-		"index.html":                               -5.147494,
-		"index.php":                                -4.636669,
-		"javascript":                               -6.246107,
-		"jpeg":                                     -6.246107,
-		"jpg":                                      -6.246107,
-		"js":                                       -5.552960,
-		"last":                                     -6.246107,
-		"listen":                                   -4.454347,
-		"location":                                 -3.848211,
-		"log_format":                               -6.246107,
-		"logs/access.log":                          -6.246107,
-		"logs/big.server.access.log":               -6.246107,
-		"logs/domain":                              -5.552960,
-		"logs/error.log":                           -6.246107,
-		"logs/nginx.pid":                           -6.246107,
-		"m":                                        -5.147494,
-		"main":                                     -4.636669,
-		"max":                                      -6.246107,
-		"media":                                    -6.246107,
-		"off":                                      -6.246107,
-		"on":                                       -4.454347,
-		"path_info":                                -5.552960,
-		"permanent":                                -6.246107,
-		"pid":                                      -6.246107,
-		"png":                                      -6.246107,
-		"proxy_pass":                               -5.552960,
-		"request_uri":                              -5.552960,
-		"resolver":                                 -6.246107,
-		"resolver_timeout":                         -6.246107,
-		"return":                                   -5.552960,
-		"rewrite":                                  -5.552960,
-		"root":                                     -4.454347,
-		"s":                                        -6.246107,
-		"scheme":                                   -5.552960,
-		"sendfile":                                 -6.246107,
-		"server":                                   -4.048882,
-		"server_name":                              -4.636669,
-		"server_names_hash_bucket_size":            -6.246107,
-		"set":                                      -5.147494,
-		"shared":                                   -6.246107,
-		"skip_cache":                               -4.859812,
-		"snippets/ssl_ciphers_intermediate.conf":   -6.246107,
-		"ssl":                                      -6.246107,
-		"ssl.crt":                                  -6.246107,
-		"ssl_certificate":                          -6.246107,
-		"ssl_certificate_key":                      -6.246107,
-		"ssl_dhparam":                              -6.246107,
-		"ssl_prefer_server_ciphers":                -6.246107,
-		"ssl_protocols":                            -6.246107,
-		"ssl_session_cache":                        -6.246107,
-		"ssl_session_timeout":                      -6.246107,
-		"ssl_stapling":                             -6.246107,
-		"ssl_stapling_verify":                      -6.246107,
-		"ssl_trusted_certificate":                  -6.246107,
-		"static":                                   -6.246107,
-		"stream":                                   -6.246107,
-		"tcp_nopush":                               -6.246107,
-		"try_files":                                -5.552960,
-		"txt":                                      -6.246107,
-		"unix":                                     -5.552960,
-		"upstream":                                 -6.246107,
-		"uri":                                      -6.246107,
-		"uri/":                                     -6.246107,
-		"user":                                     -6.246107,
-		"weight":                                   -5.552960,
-		"worker_connections":                       -6.246107,
-		"worker_processes":                         -6.246107,
-		"worker_rlimit_nofile":                     -6.246107,
-		"www":                                      -5.552960,
-		"www.domain":                               -5.552960,
-		"www.example.com":                          -6.246107,
-		"xml":                                      -6.246107,
-		"{":                                        -3.155064,
-		"|":                                        -3.473518,
-		"}":                                        -3.201584,
-		"~":                                        -4.166665,
+		"#add_header":                 -6.450470,
+		"#fastcgi_param":              -6.450470,
+		"$":                           -3.272417,
+		"(":                           -4.052575,
+		")":                           -4.052575,
+		"*":                           -5.351858,
+		"+":                           -5.064176,
+		"-":                           -4.504560,
+		".":                           -5.064176,
+		".php":                        -5.064176,
+		"/":                           -4.052575,
+		"/*":                          -6.450470,
+		"/.":                          -6.450470,
+		"/.*":                         -6.450470,
+		"//":                          -4.841033,
+		"//big_server_com":            -6.450470,
+		"//example.com":               -6.450470,
+		"/alias/":                     -6.450470,
+		"/badproxy":                   -6.450470,
+		"/demo":                       -6.450470,
+		"/etc/nginx/fastcgi.conf":     -6.450470,
+		"/etc/nginx/proxy.conf":       -6.450470,
+		"/etc/ssl/certs/dhparam.pem":  -6.450470,
+		"/hello":                      -6.450470,
+		"/json":                       -5.757323,
+		"/phpMyAdmin":                 -6.450470,
+		"/phpmyadmin":                 -5.757323,
+		"/phpmyadmin/":                -5.757323,
+		"/proxy":                      -5.757323,
+		"/purge":                      -6.450470,
+		"/srv/www/example.com/htdocs": -6.450470,
+		"/srv/www/example.com/ssl/example.com.crt": -6.450470,
+		"/srv/www/example.com/ssl/example.com.key": -6.450470,
+		"/srv/www/example.com/ssl/unified":         -6.450470,
+		"/usr/share/":                              -5.351858,
+		"/var/log/nginx/example.com.access.log":    -6.450470,
+		"/var/log/nginx/example.com.error.log":     -6.450470,
+		"/var/run/example.com.sock":                -5.757323,
+		"/var/www/virtual/big.server.com/htdocs":   -6.450470,
+		"/wp":                                      -6.450470,
+		":":                                        -3.454738,
+		";":                                        -1.806080,
+		"=":                                        -4.658711,
+		"HTTPS":                                    -6.450470,
+		"PATH_INFO":                                -6.450470,
+		"SSL":                                      -6.450470,
+		"Security":                                 -6.450470,
+		"Strict":                                   -6.450470,
+		"TLSv":                                     -5.351858,
+		"Transport":                                -6.450470,
+		"UTF":                                      -6.450470,
+		"WORDPRESS":                                -5.757323,
+		"[":                                        -6.450470,
+		"\\":                                       -4.841033,
+		"]":                                        -6.450470,
+		"^":                                        -4.147885,
+		"access.log":                               -5.757323,
+		"access_log":                               -4.841033,
+		"admin":                                    -6.450470,
+		"age":                                      -6.450470,
+		"alias":                                    -6.450470,
+		"application/json":                         -5.757323,
+		"application/octet":                        -6.450470,
+		"autoindex":                                -6.450470,
+		"bad_method":                               -6.450470,
+		"big.server.com":                           -6.450470,
+		"big_server_com":                           -6.450470,
+		"charset":                                  -6.450470,
+		"com":                                      -5.064176,
+		"conf/mime.types":                          -6.450470,
+		"css":                                      -5.757323,
+		"d":                                        -6.450470,
+		"default_server":                           -5.757323,
+		"default_type":                             -5.351858,
+		"domain":                                   -5.757323,
+		"echo":                                     -4.841033,
+		"error_log":                                -5.757323,
+		"events":                                   -6.450470,
+		"example.com":                              -6.450470,
+		"expires":                                  -6.450470,
+		"fastcgi.conf":                             -5.757323,
+		"fastcgi_cache":                            -6.450470,
+		"fastcgi_cache_bypass":                     -6.450470,
+		"fastcgi_cache_purge":                      -6.450470,
+		"fastcgi_cache_valid":                      -6.450470,
+		"fastcgi_index":                            -5.757323,
+		"fastcgi_no_cache":                         -6.450470,
+		"fastcgi_param":                            -6.450470,
+		"fastcgi_pass":                             -5.351858,
+		"fastcgi_path_info":                        -6.450470,
+		"fastcgi_script_name":                      -6.450470,
+		"fastcgi_split_path_info":                  -6.450470,
+		"flash":                                    -6.450470,
+		"gif":                                      -6.450470,
+		"host":                                     -6.450470,
+		"html":                                     -5.757323,
+		"http":                                     -4.504560,
+		"http_cookie":                              -6.450470,
+		"ico":                                      -6.450470,
+		"if":                                       -5.351858,
+		"images":                                   -6.450470,
+		"include":                                  -4.658711,
+		"index":                                    -5.064176,
+		"index.htm":                                -5.351858,
+		"index.html":                               -5.064176,
+		"index.php":                                -4.841033,
+		"javascript":                               -6.450470,
+		"jpeg":                                     -6.450470,
+		"jpg":                                      -6.450470,
+		"js":                                       -5.757323,
+		"last":                                     -6.450470,
+		"listen":                                   -4.253246,
+		"location":                                 -3.405948,
+		"log_format":                               -6.450470,
+		"logs/access.log":                          -6.450470,
+		"logs/big.server.access.log":               -6.450470,
+		"logs/domain":                              -5.757323,
+		"logs/error.log":                           -6.450470,
+		"logs/nginx.pid":                           -6.450470,
+		"m":                                        -5.351858,
+		"main":                                     -4.841033,
+		"max":                                      -6.450470,
+		"media":                                    -6.450470,
+		"nginxconf":                                -6.450470,
+		"off":                                      -6.450470,
+		"on":                                       -4.658711,
+		"path_info":                                -5.757323,
+		"permanent":                                -6.450470,
+		"pid":                                      -6.450470,
+		"png":                                      -6.450470,
+		"proxy_pass":                               -4.841033,
+		"request":                                  -6.450470,
+		"request_uri":                              -5.757323,
+		"resolver":                                 -6.450470,
+		"resolver_timeout":                         -6.450470,
+		"return":                                   -5.351858,
+		"rewrite":                                  -5.757323,
+		"root":                                     -4.504560,
+		"s":                                        -6.450470,
+		"scheme":                                   -5.757323,
+		"sendfile":                                 -6.450470,
+		"server":                                   -3.965564,
+		"server_name":                              -4.841033,
+		"server_names_hash_bucket_size":            -6.450470,
+		"set":                                      -5.351858,
+		"shared":                                   -6.450470,
+		"skip_cache":                               -5.064176,
+		"snippets/ssl_ciphers_intermediate.conf":   -6.450470,
+		"ssl":                                      -6.450470,
+		"ssl.crt":                                  -6.450470,
+		"ssl_certificate":                          -6.450470,
+		"ssl_certificate_key":                      -6.450470,
+		"ssl_dhparam":                              -6.450470,
+		"ssl_prefer_server_ciphers":                -6.450470,
+		"ssl_protocols":                            -6.450470,
+		"ssl_session_cache":                        -6.450470,
+		"ssl_session_timeout":                      -6.450470,
+		"ssl_stapling":                             -6.450470,
+		"ssl_stapling_verify":                      -6.450470,
+		"ssl_trusted_certificate":                  -6.450470,
+		"static":                                   -6.450470,
+		"stream":                                   -6.450470,
+		"tcp_nopush":                               -6.450470,
+		"testdata":                                 -6.450470,
+		"try_files":                                -5.757323,
+		"txt":                                      -6.450470,
+		"unix":                                     -5.757323,
+		"upstream":                                 -6.450470,
+		"uri":                                      -6.450470,
+		"uri/":                                     -6.450470,
+		"user":                                     -6.450470,
+		"weight":                                   -5.757323,
+		"worker_connections":                       -6.450470,
+		"worker_processes":                         -6.450470,
+		"worker_rlimit_nofile":                     -6.450470,
+		"www":                                      -5.757323,
+		"www.domain":                               -5.757323,
+		"www.example.com":                          -6.450470,
+		"xml":                                      -6.450470,
+		"{":                                        -2.866951,
+		"|":                                        -3.677882,
+		"}":                                        -2.895122,
+		"~":                                        -3.965564,
 	},
 	"Nim": map[string]float64{
 		"!":                              -6.802950,
@@ -106110,1293 +111551,1318 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                               -2.838452,
 	},
 	"PHP": map[string]float64{
-		"!":                   -4.807784,
-		"#":                   -8.719807,
-		"$":                   -2.033947,
-		"&":                   -7.333513,
-		"&&":                  -5.442663,
-		"'":                   -10.106102,
-		"(":                   -2.541863,
-		")":                   -2.539791,
-		"*":                   -6.117118,
-		"*/":                  -8.160192,
-		"+":                   -7.061579,
-		",":                   -3.556451,
-		"-":                   -3.213460,
-		".":                   -5.335417,
-		".*":                  -9.007490,
-		"/":                   -8.314342,
-		"/**":                 -10.106102,
-		"//Attach":            -10.106102,
-		"//Read":              -10.106102,
-		"//Replace":           -10.106102,
-		"//Set":               -9.007490,
-		"//TODO":              -10.106102,
-		"//book.cakephp.org/": -9.412955,
-		"//convert":           -10.106102,
-		"//drupal.org/handbook/customization/php": -10.106102,
-		"//drupal.org/handbook/modules/php/":      -10.106102,
-		"//send":                                  -10.106102,
-		"//www.php.net":                           -10.106102,
-		"/en/controllers.html":                    -10.106102,
-		"/en/models.html":                         -10.106102,
-		"/h":                                      -9.412955,
-		"/posts/index":                            -10.106102,
-		"/usr/bin/env":                            -9.007490,
-		"/usr/bin/php":                            -10.106102,
-		"0":                                       -8.496664,
-		"1":                                       -10.106102,
-		"10":                                      -10.106102,
-		"2":                                       -10.106102,
-		"2005":                                    -8.719807,
-		"2008":                                    -10.106102,
-		"2012":                                    -8.719807,
-		"3":                                       -10.106102,
-		"5":                                       -10.106102,
-		"9":                                       -9.412955,
-		":":                                       -5.378714,
-		";":                                       -3.132559,
-		"<":                                       -7.541152,
-		"</a>":                                    -8.160192,
-		"</code>":                                 -8.496664,
-		"</comment>":                              -8.160192,
-		"</dd>":                                   -10.106102,
-		"</div>":                                  -10.106102,
-		"</dl>":                                   -10.106102,
-		"</dt>":                                   -10.106102,
-		"</em>":                                   -10.106102,
-		"</error>":                                -10.106102,
-		"</info>":                                 -8.160192,
-		"</li>":                                   -7.803517,
-		"</p>":                                    -8.026660,
-		"</pre>":                                  -9.412955,
-		"</strong>":                               -9.412955,
-		"</ul>":                                   -9.412955,
-		"<?>":                                     -10.106102,
-		"<?php>":                                  -7.398052,
-		"<SubFolder>":                             -10.106102,
-		"<a>":                                     -8.160192,
-		"<base>":                                  -10.106102,
-		"<code>":                                  -8.496664,
-		"<comment>":                               -8.160192,
-		"<dariusz.ruminski@gmail.com>":            -9.412955,
-		"<dd>":                                    -10.106102,
-		"<div>":                                   -10.106102,
-		"<dl>":                                    -10.106102,
-		"<dt>":                                    -10.106102,
-		"<em>":                                    -10.106102,
-		"<error>":                                 -10.106102,
-		"<extra>":                                 -9.412955,
-		"<fabien@symfony.com>":                    -9.007490,
-		"<field>":                                 -10.106102,
-		"<info>":                                  -8.160192,
-		"<li>":                                    -7.803517,
-		"<p>":                                     -8.026660,
-		"<pre>":                                   -9.412955,
-		"<segment>":                               -10.106102,
-		"<strong>":                                -9.412955,
-		"<ul>":                                    -9.412955,
-		"=":                                       -2.861160,
-		">":                                       -3.017693,
-		"?":                                       -6.495184,
-		"@filter":                                 -10.106102,
-		"@ingroup":                                -10.106102,
-		"@link":                                   -9.412955,
-		"@name":                                   -9.412955,
-		"@package":                                -9.412955,
-		"@param":                                  -10.106102,
-		"@php":                                    -9.007490,
-		"@property":                               -8.026660,
-		"@return":                                 -9.412955,
-		"A":                                       -10.106102,
-		"ARE":                                     -9.412955,
-		"About":                                   -9.412955,
-		"Acl":                                     -10.106102,
-		"AclComponent":                            -10.106102,
-		"Additional":                              -10.106102,
-		"After":                                   -10.106102,
-		"AltBody":                                 -10.106102,
-		"App":                                     -7.215730,
-		"AppModel":                                -10.106102,
-		"Application":                             -9.007490,
-		"ArgvInput":                               -9.412955,
-		"Array":                                   -7.541152,
-		"ArrayAccess":                             -10.106102,
-		"ArrayInput":                              -9.007490,
-		"Auth":                                    -10.106102,
-		"AuthComponent":                           -10.106102,
-		"Autogenerated":                           -10.106102,
-		"Automatically":                           -10.106102,
-		"Base":                                    -10.106102,
-		"BehaviorCollection":                      -10.106102,
-		"Behaviors":                               -9.007490,
-		"Blog":                                    -10.106102,
-		"Boolean":                                 -8.719807,
-		"BrowserKit":                              -10.106102,
-		"By":                                      -10.106102,
-		"CS":                                      -9.412955,
-		"Cake":                                    -8.160192,
-		"Cake.Controller":                         -10.106102,
-		"Cake.Model":                              -10.106102,
-		"CakeEvent":                               -7.908877,
-		"CakeEventListener":                       -8.719807,
-		"CakeEventManager":                        -8.719807,
-		"CakePHP":                                 -8.314342,
-		"CakeRequest":                             -9.007490,
-		"CakeResponse":                            -10.106102,
-		"ChoiceFormField":                         -9.412955,
-		"ClassRegistry":                           -8.719807,
-		"Client":                                  -10.106102,
-		"Command":                                 -8.314342,
-		"Compiler":                                -10.106102,
-		"Component":                               -6.928048,
-		"ComponentCollection":                     -10.106102,
-		"Components":                              -9.412955,
-		"Config":                                  -9.412955,
-		"ConnectionManager":                       -10.106102,
-		"Console":                                 -7.272888,
-		"ConsoleOutput":                           -9.412955,
-		"ConsoleOutputInterface":                  -9.412955,
-		"Contact":                                 -10.106102,
-		"Controller":                              -8.719807,
-		"Controllers":                             -9.412955,
-		"Cookie":                                  -10.106102,
-		"CookieComponent":                         -10.106102,
-		"CookieJar":                               -9.412955,
-		"Copyright":                               -8.496664,
-		"Crawler":                                 -9.412955,
-		"Create":                                  -10.106102,
-		"DBO":                                     -9.412955,
-		"DO":                                      -10.106102,
-		"DOING":                                   -10.106102,
-		"DOMDocument":                             -10.106102,
-		"DOMNode":                                 -9.007490,
-		"DOMXPath":                                -10.106102,
-		"Dariusz":                                 -9.412955,
-		"Development":                             -9.412955,
-		"DialogHelper":                            -10.106102,
-		"Dispatcher":                              -10.106102,
-		"DomCrawler":                              -8.496664,
-		"Drupal":                                  -10.106102,
-		"Drupal.org.":                             -10.106102,
-		"EDIT":                                    -10.106102,
-		"EOF":                                     -8.719807,
-		"EXTR_OVERWRITE":                          -9.007490,
-		"E_USER_WARNING":                          -10.106102,
-		"Each":                                    -10.106102,
-		"Email":                                   -10.106102,
-		"EmailComponent":                          -10.106102,
-		"Enabling":                                -10.106102,
-		"ErrorInfo":                               -10.106102,
-		"Even":                                    -10.106102,
-		"Event":                                   -8.314342,
-		"Example":                                 -10.106102,
-		"Exception":                               -8.314342,
-		"FALSE":                                   -9.412955,
-		"FILES":                                   -10.106102,
-		"Fabien":                                  -8.496664,
-		"Field":                                   -7.908877,
-		"FileFormField":                           -9.007490,
-		"Finder":                                  -9.412955,
-		"Fixer":                                   -9.412955,
-		"For":                                     -8.314342,
-		"Form":                                    -8.719807,
-		"FormField":                               -9.007490,
-		"FormFieldRegistry":                       -9.412955,
-		"FormatterHelper":                         -10.106102,
-		"Foundation":                              -8.719807,
-		"Framework":                               -9.412955,
-		"GET":                                     -10.106102,
-		"HTML":                                    -9.412955,
-		"HelpCommand":                             -10.106102,
-		"Helper":                                  -9.007490,
-		"HelperSet":                               -9.412955,
-		"History":                                 -9.412955,
-		"I":                                       -10.106102,
-		"Implements":                              -10.106102,
-		"In":                                      -10.106102,
-		"Inc":                                     -8.719807,
-		"Inflector":                               -9.007490,
-		"Input":                                   -8.314342,
-		"InputArgument":                           -10.106102,
-		"InputDefinition":                         -10.106102,
-		"InputFormField":                          -9.412955,
-		"InputInterface":                          -9.007490,
-		"InputOption":                             -10.106102,
-		"InvalidArgumentException":                -8.026660,
-		"Isabelle":                                -10.106102,
-		"It":                                      -10.106102,
-		"KNOW":                                    -10.106102,
-		"LICENSE":                                 -9.007490,
-		"LICENSE.":                                -9.412955,
-		"LLC":                                     -10.106102,
-		"License":                                 -8.719807,
-		"Licensed":                                -9.412955,
-		"Link":                                    -9.007490,
-		"ListCommand":                             -10.106102,
-		"LogicException":                          -8.719807,
-		"MIT":                                     -8.314342,
-		"Malformed":                               -10.106102,
-		"MissingModelException":                   -10.106102,
-		"Model":                                   -8.496664,
-		"ModelBehavior":                           -10.106102,
-		"NOT":                                     -10.106102,
-		"NULL":                                    -10.106102,
-		"Network":                                 -10.106102,
-		"Object":                                  -8.719807,
-		"Only":                                    -10.106102,
-		"Output":                                  -8.496664,
-		"OutputInterface":                         -8.496664,
-		"P":                                       -8.719807,
-		"PHP":                                     -6.970608,
-		"PHPMailer":                               -9.412955,
-		"PHPMailerAutoload":                       -10.106102,
-		"PHP_EOL":                                 -10.106102,
-		"PHP_URL_HOST":                            -10.106102,
-		"PHP_URL_PATH":                            -10.106102,
-		"PHP_URL_SCHEME":                          -10.106102,
-		"POST":                                    -10.106102,
-		"Paginator":                               -10.106102,
-		"PaginatorComponent":                      -10.106102,
-		"Photos":                                  -10.106102,
-		"PhpCsFixer":                              -8.719807,
-		"PhpProcess":                              -9.412955,
-		"Plugins":                                 -10.106102,
-		"PostsController":                         -10.106102,
-		"Potencier":                               -8.496664,
-		"Process":                                 -10.106102,
-		"Project":                                 -9.412955,
-		"Protocol":                                -9.412955,
-		"Provides":                                -10.106102,
-		"PullRequest":                             -10.106102,
-		"ROOT":                                    -10.106102,
-		"Rapid":                                   -9.412955,
-		"Redistributions":                         -9.412955,
-		"ReflectionMethod":                        -10.106102,
-		"Remove":                                  -10.106102,
-		"Request":                                 -9.007490,
-		"RequestHandler":                          -10.106102,
-		"RequestHandlerComponent":                 -10.106102,
-		"Router":                                  -8.496664,
-		"Rumi":                                    -9.412955,
-		"RuntimeException":                        -9.412955,
-		"SHEBANG#!php":                            -8.719807,
-		"SITE_DIR":                                -8.719807,
-		"STDIN":                                   -9.007490,
-		"STOP":                                    -10.106102,
-		"STRING":                                  -9.007490,
-		"SURE":                                    -10.106102,
-		"Scaffold":                                -10.106102,
-		"Security":                                -10.106102,
-		"SecurityComponent":                       -10.106102,
-		"Session":                                 -10.106102,
-		"SessionComponent":                        -10.106102,
-		"Set":                                     -8.026660,
-		"SimpleXMLElement":                        -10.106102,
-		"Software":                                -8.496664,
-		"Splits":                                  -10.106102,
-		"String":                                  -8.496664,
-		"Subject":                                 -10.106102,
-		"Symfony":                                 -6.810265,
-		"TApplicationException":                   -10.106102,
-		"TBase":                                   -10.106102,
-		"TBinaryProtocolAccelerated":              -10.106102,
-		"TException":                              -10.106102,
-		"THAT":                                    -10.106102,
-		"TMessageType":                            -10.106102,
-		"TProtocol":                               -10.106102,
-		"TProtocolException":                      -10.106102,
-		"TRUE":                                    -9.412955,
-		"TType":                                   -8.496664,
-		"Test":                                    -10.106102,
-		"TextareaFormField":                       -10.106102,
-		"Thank":                                   -9.007490,
-		"The":                                     -8.160192,
-		"These":                                   -10.106102,
-		"This":                                    -7.803517,
-		"Thrift":                                  -7.908877,
-		"Type":                                    -9.412955,
-		"UNLESS":                                  -10.106102,
-		"Unlike":                                  -10.106102,
-		"Use":                                     -10.106102,
-		"User":                                    -10.106102,
-		"Uses":                                    -10.106102,
-		"Using":                                   -10.106102,
-		"Utility":                                 -8.314342,
-		"VERBOSITY_QUIET":                         -10.106102,
-		"VERBOSITY_VERBOSE":                       -10.106102,
-		"Validation":                              -10.106102,
-		"View":                                    -9.007490,
-		"WHAT":                                    -10.106102,
-		"Welcome":                                 -9.007490,
-		"While":                                   -10.106102,
-		"Xml":                                     -9.412955,
-		"YII_DEBUG":                               -9.412955,
-		"YOU":                                     -9.007490,
-		"Yii":                                     -9.007490,
-		"You":                                     -9.412955,
-		"[":                                       -3.772822,
-		"\\":                                      -5.261915,
-		"]":                                       -3.776381,
-		"^":                                       -9.007490,
-		"_":                                       -10.106102,
-		"_GET":                                    -10.106102,
-		"_SERVER":                                 -10.106102,
-		"_TSPEC":                                  -9.007490,
-		"__DIR__":                                 -8.496664,
-		"__FILE__":                                -10.106102,
-		"__backAssociation":                       -8.160192,
-		"__call":                                  -10.106102,
-		"__construct":                             -8.496664,
-		"__d":                                     -10.106102,
-		"__isset":                                 -10.106102,
-		"_afterScaffoldSave":                      -10.106102,
-		"_afterScaffoldSaveError":                 -10.106102,
-		"_associations":                           -10.106102,
-		"_beforeScaffold":                         -10.106102,
-		"_clearCache":                             -9.412955,
-		"_collectForeignKeys":                     -9.412955,
-		"_constructLinkedModel":                   -10.106102,
-		"_deleteDependent":                        -9.007490,
-		"_deleteLinks":                            -9.007490,
-		"_eventManager":                           -8.496664,
-		"_filterResults":                          -10.106102,
-		"_findCount":                              -10.106102,
-		"_findFirst":                              -10.106102,
-		"_findList":                               -10.106102,
-		"_getScaffold":                            -10.106102,
-		"_id":                                     -9.412955,
-		"_isPrivateAction":                        -10.106102,
-		"_mergeControllerVars":                    -10.106102,
-		"_mergeParent":                            -9.007490,
-		"_mergeUses":                              -9.007490,
-		"_mergeVars":                              -9.412955,
-		"_normalizeXmlData":                       -9.007490,
-		"_parseBeforeRedirect":                    -9.412955,
-		"_php_filter_tips":                        -10.106102,
-		"_prepareUpdateFields":                    -9.412955,
-		"_responseClass":                          -10.106102,
-		"_return":                                 -9.007490,
-		"_saveMulti":                              -9.412955,
-		"_scaffoldError":                          -10.106102,
-		"_schema":                                 -8.314342,
-		"_setAliasData":                           -9.412955,
-		"_stop":                                   -10.106102,
-		"_whitelist":                              -8.719807,
-		"`":                                       -8.160192,
-		"a":                                       -6.738806,
-		"aMenuLinks":                              -10.106102,
-		"abbrev":                                  -8.719807,
-		"abbrevs":                                 -6.738806,
-		"ability":                                 -10.106102,
-		"about":                                   -10.106102,
-		"above":                                   -9.412955,
-		"abstract":                                -9.412955,
-		"access":                                  -9.412955,
-		"accidentally":                            -10.106102,
-		"action":                                  -9.007490,
-		"action.":                                 -10.106102,
-		"actions":                                 -9.412955,
-		"add":                                     -8.160192,
-		"addAddress":                              -10.106102,
-		"addAttachment":                           -10.106102,
-		"addChoice":                               -10.106102,
-		"addCommands":                             -10.106102,
-		"addContent":                              -10.106102,
-		"addReplyTo":                              -10.106102,
-		"added":                                   -10.106102,
-		"adding":                                  -10.106102,
-		"address":                                 -10.106102,
-		"adds":                                    -9.412955,
-		"admin":                                   -10.106102,
-		"admin/help/filter":                       -10.106102,
-		"afterFilter":                             -10.106102,
-		"afterScaffoldSave":                       -9.412955,
-		"afterScaffoldSaveError":                  -9.412955,
-		"alias":                                   -5.947219,
-		"aliases":                                 -8.026660,
-		"all":                                     -7.803517,
-		"allNamespaces":                           -9.007490,
-		"allValues":                               -10.106102,
-		"allows":                                  -9.412955,
-		"alone":                                   -10.106102,
-		"also":                                    -10.106102,
-		"alternative":                             -9.412955,
-		"alternatives":                            -7.803517,
-		"am":                                      -10.106102,
-		"an":                                      -8.496664,
-		"and":                                     -7.541152,
-		"another":                                 -10.106102,
-		"any":                                     -9.412955,
-		"appVars":                                 -8.314342,
-		"appendChild":                             -9.007490,
-		"application":                             -9.412955,
-		"are":                                     -8.160192,
-		"arg":                                     -10.106102,
-		"array":                                   -4.604844,
-		"arrayOp":                                 -9.412955,
-		"array_combine":                           -10.106102,
-		"array_diff":                              -9.412955,
-		"array_filter":                            -9.412955,
-		"array_flip":                              -10.106102,
-		"array_intersect":                         -10.106102,
-		"array_key_exists":                        -7.803517,
-		"array_keys":                              -8.160192,
-		"array_map":                               -10.106102,
-		"array_merge":                             -6.738806,
-		"array_pop":                               -10.106102,
-		"array_search":                            -10.106102,
-		"array_shift":                             -8.496664,
-		"array_slice":                             -10.106102,
-		"array_unique":                            -8.719807,
-		"array_unshift":                           -10.106102,
-		"array_values":                            -8.496664,
-		"as":                                      -5.857607,
-		"asort":                                   -10.106102,
-		"aspects":                                 -10.106102,
-		"assoc":                                   -6.417222,
-		"assocKey":                                -8.496664,
-		"association":                             -6.255954,
-		"associationForeignKey":                   -8.496664,
-		"associations":                            -7.908877,
-		"at":                                      -10.106102,
-		"attach":                                  -9.412955,
-		"autoExit":                                -8.719807,
-		"autoLayout":                              -9.412955,
-		"autoRender":                              -8.719807,
-		"autoload":                                -10.106102,
-		"automatic":                               -10.106102,
-		"availability":                            -10.106102,
-		"back":                                    -9.412955,
-		"backed":                                  -9.412955,
-		"base":                                    -7.908877,
-		"base_url":                                -10.106102,
-		"based":                                   -9.412955,
-		"basic":                                   -9.412955,
-		"be":                                      -8.160192,
-		"been":                                    -10.106102,
-		"before":                                  -10.106102,
-		"beforeFilter":                            -10.106102,
-		"beforeRedirect":                          -10.106102,
-		"beforeRender":                            -10.106102,
-		"beforeScaffold":                          -9.412955,
-		"begin":                                   -9.412955,
-		"belongsTo":                               -8.314342,
-		"block":                                   -7.803517,
-		"body":                                    -8.719807,
-		"bool":                                    -8.496664,
-		"boolean":                                 -8.719807,
-		"bootstrap":                               -10.106102,
-		"both":                                    -10.106102,
-		"break":                                   -7.398052,
-		"breakOn":                                 -8.719807,
-		"browser":                                 -10.106102,
-		"buffering":                               -10.106102,
-		"buildQuery":                              -9.412955,
-		"bundled":                                 -9.412955,
-		"business":                                -10.106102,
-		"button":                                  -8.314342,
-		"by":                                      -7.908877,
-		"c":                                       -8.314342,
-		"cache":                                   -9.412955,
-		"cacheAction":                             -10.106102,
-		"cacheSources":                            -9.007490,
-		"cakefoundation":                          -8.719807,
-		"cakephp":                                 -8.719807,
-		"calculate":                               -9.412955,
-		"call.":                                   -10.106102,
-		"call_user_func":                          -9.412955,
-		"callback":                                -8.496664,
-		"callbacks":                               -8.719807,
-		"calling":                                 -10.106102,
-		"camelize":                                -9.412955,
-		"can":                                     -8.719807,
-		"capture":                                 -10.106102,
-		"carefully":                               -10.106102,
-		"cascade":                                 -7.803517,
-		"case":                                    -8.496664,
-		"catch":                                   -9.412955,
-		"catchExceptions":                         -8.719807,
-		"changeHistory":                           -8.719807,
-		"check":                                   -10.106102,
-		"class":                                   -7.467044,
-		"className":                               -7.541152,
-		"class_exists":                            -9.412955,
-		"clear":                                   -9.412955,
-		"click":                                   -10.106102,
-		"clone":                                   -10.106102,
-		"code":                                    -7.333513,
-		"code.":                                   -8.496664,
-		"codes":                                   -9.007490,
-		"colType":                                 -8.719807,
-		"collectReturn":                           -10.106102,
-		"collection":                              -9.007490,
-		"cols":                                    -8.160192,
-		"column":                                  -7.803517,
-		"columns":                                 -8.496664,
-		"com":                                     -8.026660,
-		"combine":                                 -10.106102,
-		"command":                                 -6.672115,
-		"commands":                                -6.887226,
-		"comment":                                 -10.106102,
-		"commit":                                  -9.412955,
-		"compact":                                 -8.314342,
-		"components":                              -10.106102,
-		"compromise":                              -10.106102,
-		"cond":                                    -8.496664,
-		"conditions":                              -6.468516,
-		"conf":                                    -8.026660,
-		"config":                                  -9.007490,
-		"connect":                                 -10.106102,
-		"console":                                 -9.007490,
-		"contacts":                                -10.106102,
-		"containing":                              -10.106102,
-		"contains":                                -10.106102,
-		"content":                                 -8.160192,
-		"context":                                 -8.496664,
-		"continue":                                -8.314342,
-		"controller":                              -9.007490,
-		"controllers":                             -9.412955,
-		"conventional":                            -10.106102,
-		"convert":                                 -10.106102,
-		"cookieJar":                               -7.908877,
-		"copyright":                               -8.026660,
-		"count":                                   -6.970608,
-		"crawler":                                 -8.160192,
-		"create":                                  -7.272888,
-		"createCrawlerFromContent":                -9.412955,
-		"createElement":                           -10.106102,
-		"created":                                 -7.908877,
-		"creating":                                -9.412955,
-		"ctools_context_required":                 -10.106102,
-		"ctools_template_identifier":              -10.106102,
-		"current":                                 -9.007490,
-		"currentUri":                              -8.160192,
-		"custom":                                  -10.106102,
-		"dangerous":                               -10.106102,
-		"data":                                    -4.952810,
-		"database":                                -9.412955,
-		"date":                                    -7.908877,
-		"dateFields":                              -8.496664,
-		"db":                                      -6.468516,
-		"dbMulti":                                 -8.314342,
-		"deconstruct":                             -9.412955,
-		"default":                                 -8.160192,
-		"defaults":                                -8.314342,
-		"define":                                  -9.412955,
-		"defined":                                 -9.007490,
-		"definition":                              -9.007490,
-		"delete":                                  -7.908877,
-		"deleteAll":                               -9.412955,
-		"delta":                                   -9.412955,
-		"describe":                                -10.106102,
-		"described":                               -10.106102,
-		"descriptorspec":                          -9.412955,
-		"developed.":                              -10.106102,
-		"development":                             -10.106102,
-		"dirname":                                 -9.412955,
-		"disableCache":                            -9.412955,
-		"dispatch":                                -8.160192,
-		"dispatchMethod":                          -10.106102,
-		"distributed":                             -9.007490,
-		"do":                                      -10.106102,
-		"do.":                                     -10.106102,
-		"doRequest":                               -9.412955,
-		"doRequestInProcess":                      -9.412955,
-		"doRun":                                   -9.412955,
-		"document":                                -8.314342,
-		"doesn":                                   -10.106102,
-		"drupal_get_path":                         -9.412955,
-		"dynamic":                                 -9.412955,
-		"e":                                       -8.160192,
-		"echo":                                    -8.496664,
-		"editing":                                 -10.106102,
-		"either":                                  -10.106102,
-		"else":                                    -5.947219,
-		"elseif":                                  -6.848005,
-		"embedded":                                -10.106102,
-		"empty":                                   -5.617465,
-		"endQuote":                                -8.719807,
-		"endpoint":                                -10.106102,
-		"ensures":                                 -10.106102,
-		"entering":                                -10.106102,
-		"entity_uri":                              -10.106102,
-		"entry":                                   -10.106102,
-		"errors":                                  -9.412955,
-		"escapeField":                             -8.314342,
-		"eval":                                    -8.719807,
-		"evaluate":                                -10.106102,
-		"evaluate.":                               -10.106102,
-		"evaluated":                               -10.106102,
-		"event":                                   -6.773897,
-		"events":                                  -10.106102,
-		"examined":                                -10.106102,
-		"example":                                 -9.007490,
-		"exclude":                                 -9.412955,
-		"exclusive":                               -9.412955,
-		"execute":                                 -10.106102,
-		"executed":                                -10.106102,
-		"execution":                               -10.106102,
-		"exists":                                  -8.314342,
-		"exit":                                    -8.160192,
-		"experience":                              -10.106102,
-		"explode":                                 -8.026660,
-		"expression":                              -10.106102,
-		"ext":                                     -10.106102,
-		"extends":                                 -8.719807,
-		"external":                                -10.106102,
-		"extra":                                   -10.106102,
-		"extract":                                 -8.496664,
-		"extractNamespace":                        -8.160192,
-		"fInfo":                                   -8.719807,
-		"fabien":                                  -8.496664,
-		"false":                                   -5.277788,
-		"fcgi":                                    -10.106102,
-		"fclose":                                  -9.412955,
-		"feature":                                 -10.106102,
-		"fid":                                     -8.719807,
-		"field":                                   -5.886594,
-		"fieldList":                               -10.106102,
-		"fieldName":                               -8.314342,
-		"fieldOp":                                 -7.708207,
-		"fieldSet":                                -9.007490,
-		"fieldValue":                              -8.160192,
-		"fields":                                  -6.321912,
-		"file":                                    -6.887226,
-		"file.":                                   -10.106102,
-		"file_entity_file_display_content_type_edit_form": -10.106102,
-		"file_entity_file_display_content_type_render":    -10.106102,
-		"file_get_contents":                               -10.106102,
-		"file_view_file":                                  -10.106102,
-		"filename":                                        -9.412955,
-		"files":                                           -8.160192,
-		"filter":                                          -7.908877,
-		"filterKey":                                       -9.412955,
-		"filterRequest":                                   -9.412955,
-		"filterResponse":                                  -9.412955,
-		"filters":                                         -9.412955,
-		"find":                                            -7.398052,
-		"findAlternativeCommands":                         -9.412955,
-		"findAlternativeNamespace":                        -9.412955,
-		"findAlternatives":                                -9.007490,
-		"findMethods":                                     -9.412955,
-		"findNamespace":                                   -9.412955,
-		"findQueryType":                                   -9.412955,
-		"fkQuoted":                                        -9.007490,
-		"flash":                                           -10.106102,
-		"flexible":                                        -10.106102,
-		"fname":                                           -9.007490,
-		"followRedirect":                                  -8.719807,
-		"followRedirects":                                 -8.496664,
-		"followed":                                        -10.106102,
-		"following":                                       -10.106102,
-		"fopen":                                           -10.106102,
-		"for":                                             -7.272888,
-		"foreach":                                         -5.931715,
-		"foreignKey":                                      -7.708207,
-		"foreignKeys":                                     -9.007490,
-		"form":                                            -7.803517,
-		"form_state":                                      -9.412955,
-		"format":                                          -8.719807,
-		"formats":                                         -10.106102,
-		"forward":                                         -9.412955,
-		"found":                                           -8.496664,
-		"from":                                            -9.412955,
-		"ftype":                                           -8.314342,
-		"full":                                            -9.007490,
-		"fully":                                           -10.106102,
-		"function":                                        -4.982138,
-		"function_exists":                                 -9.007490,
-		"functionality":                                   -10.106102,
-		"general":                                         -10.106102,
-		"generated":                                       -9.412955,
-		"get":                                             -7.541152,
-		"getAbbreviationSuggestions":                      -8.719807,
-		"getAbbreviations":                                -8.719807,
-		"getAbsoluteUri":                                  -9.412955,
-		"getAliases":                                      -9.007490,
-		"getAssociated":                                   -8.719807,
-		"getAttribute":                                    -7.803517,
-		"getCode":                                         -10.106102,
-		"getColumnType":                                   -8.719807,
-		"getColumnTypes":                                  -10.106102,
-		"getCommandName":                                  -10.106102,
-		"getContent":                                      -9.412955,
-		"getCookieJar":                                    -10.106102,
-		"getCrawler":                                      -10.106102,
-		"getDataSource":                                   -7.541152,
-		"getDefaultCommands":                              -10.106102,
-		"getDefaultHelperSet":                             -10.106102,
-		"getDefaultInputDefinition":                       -10.106102,
-		"getDefinition":                                   -9.412955,
-		"getDescription":                                  -10.106102,
-		"getErrorOutput":                                  -9.412955,
-		"getEventManager":                                 -8.026660,
-		"getFiles":                                        -9.007490,
-		"getFormNode":                                     -10.106102,
-		"getHeader":                                       -9.412955,
-		"getHelp":                                         -10.106102,
-		"getHelperSet":                                    -9.007490,
-		"getHistory":                                      -10.106102,
-		"getID":                                           -9.412955,
-		"getInputStream":                                  -10.106102,
-		"getLongVersion":                                  -9.007490,
-		"getMethod":                                       -8.314342,
-		"getName":                                         -7.708207,
-		"getNamespaces":                                   -9.007490,
-		"getOptions":                                      -10.106102,
-		"getOutput":                                       -9.007490,
-		"getParameters":                                   -10.106102,
-		"getPhpFiles":                                     -9.412955,
-		"getPhpValues":                                    -9.412955,
-		"getRawUri":                                       -10.106102,
-		"getRequest":                                      -10.106102,
-		"getResponse":                                     -10.106102,
-		"getScript":                                       -9.412955,
-		"getSegments":                                     -8.719807,
-		"getServer":                                       -10.106102,
-		"getServerParameter":                              -10.106102,
-		"getShortcut":                                     -9.412955,
-		"getSttyColumns":                                  -10.106102,
-		"getUri":                                          -8.026660,
-		"getValue":                                        -9.412955,
-		"getValues":                                       -9.007490,
-		"getVersion":                                      -9.007490,
-		"getVirtualField":                                 -10.106102,
-		"get_class_vars":                                  -9.412955,
-		"github":                                          -10.106102,
-		"global":                                          -9.412955,
-		"granted":                                         -10.106102,
-		"h":                                               -10.106102,
-		"h3":                                              -10.106102,
-		"handbook":                                        -10.106102,
-		"hands":                                           -10.106102,
-		"has":                                             -8.026660,
-		"hasAndBelongsToMany":                             -6.970608,
-		"hasAny":                                          -10.106102,
-		"hasAttribute":                                    -10.106102,
-		"hasField":                                        -8.719807,
-		"hasMany":                                         -9.412955,
-		"hasMethod":                                       -9.412955,
-		"hasOne":                                          -9.412955,
-		"hasParameterOption":                              -8.160192,
-		"hasValue":                                        -10.106102,
-		"have":                                            -9.412955,
-		"header":                                          -8.160192,
-		"help":                                            -10.106102,
-		"helpCommand":                                     -9.007490,
-		"helperSet":                                       -8.314342,
-		"helpers":                                         -10.106102,
-		"history":                                         -7.398052,
-		"hook_help":                                       -10.106102,
-		"href=":                                           -8.160192,
-		"http":                                            -7.272888,
-		"httpCodes":                                       -9.007490,
-		"http_build_query":                                -9.007490,
-		"i":                                               -7.015059,
-		"id":                                              -5.843422,
-		"id=":                                             -10.106102,
-		"idField":                                         -9.007490,
-		"ids":                                             -8.026660,
-		"if":                                              -4.208948,
-		"image":                                           -10.106102,
-		"images":                                          -10.106102,
-		"implementedEvents":                               -10.106102,
-		"implements":                                      -9.007490,
-		"implode":                                         -8.314342,
-		"importNode":                                      -9.412955,
-		"in":                                              -7.908877,
-		"in_array":                                        -6.887226,
-		"include":                                         -10.106102,
-		"included":                                        -9.007490,
-		"includes":                                        -10.106102,
-		"incorrect":                                       -10.106102,
-		"index":                                           -8.496664,
-		"inexperienced":                                   -10.106102,
-		"info":                                            -8.496664,
-		"information":                                     -8.719807,
-		"init":                                            -10.106102,
-		"initialize":                                      -9.412955,
-		"input":                                           -6.810265,
-		"inputStream":                                     -9.412955,
-		"insertMulti":                                     -10.106102,
-		"inside":                                          -10.106102,
-		"instance":                                        -10.106102,
-		"instanceof":                                      -8.314342,
-		"insulate":                                        -10.106102,
-		"insulated":                                       -8.160192,
-		"into":                                            -9.412955,
-		"intval":                                          -8.719807,
-		"invalidFields":                                   -10.106102,
-		"is":                                              -7.272888,
-		"isDisabled":                                      -9.412955,
-		"isEmpty":                                         -9.412955,
-		"isEnabled":                                       -10.106102,
-		"isKeySet":                                        -10.106102,
-		"isPublic":                                        -10.106102,
-		"isStopped":                                       -9.007490,
-		"isSuccessful":                                    -10.106102,
-		"isUUID":                                          -8.496664,
-		"isVirtualField":                                  -9.412955,
-		"is_array":                                        -6.738806,
-		"is_null":                                         -10.106102,
-		"is_numeric":                                      -8.719807,
-		"is_object":                                       -9.412955,
-		"is_resource":                                     -10.106102,
-		"is_string":                                       -8.160192,
-		"is_subclass_of":                                  -9.412955,
-		"isset":                                           -5.711653,
-		"it":                                              -9.412955,
-		"item":                                            -7.908877,
-		"j":                                               -9.412955,
-		"join":                                            -7.015059,
-		"joinModel":                                       -8.026660,
-		"joined":                                          -8.496664,
-		"k":                                               -8.160192,
-		"keepExisting":                                    -9.007490,
-		"key":                                             -6.154858,
-		"key.":                                            -10.106102,
-		"keyInfo":                                         -8.719807,
-		"keyPresentAndEmpty":                              -9.412955,
-		"keys":                                            -7.215730,
-		"ksort":                                           -9.412955,
-		"language":                                        -9.412955,
-		"layout":                                          -9.007490,
-		"layoutPath":                                      -10.106102,
-		"layouts":                                         -10.106102,
-		"least":                                           -10.106102,
-		"len":                                             -8.719807,
-		"lev":                                             -8.314342,
-		"levenshtein":                                     -9.412955,
-		"license":                                         -7.708207,
-		"licenses":                                        -9.412955,
-		"limit":                                           -9.007490,
-		"line":                                            -9.412955,
-		"link":                                            -7.803517,
-		"links":                                           -8.719807,
-		"list":                                            -7.015059,
-		"listing":                                         -10.106102,
-		"load":                                            -10.106102,
-		"loadModel":                                       -10.106102,
-		"logic":                                           -10.106102,
-		"long":                                            -9.412955,
-		"lowercase":                                       -10.106102,
-		"lst":                                             -8.719807,
-		"mail":                                            -7.708207,
-		"malformed":                                       -10.106102,
-		"malicious":                                       -10.106102,
-		"manipulate":                                      -10.106102,
-		"manually":                                        -10.106102,
-		"map":                                             -10.106102,
-		"mapper":                                          -9.412955,
-		"mapping":                                         -10.106102,
-		"maps":                                            -10.106102,
-		"may":                                             -10.106102,
-		"me":                                              -10.106102,
-		"merge":                                           -7.908877,
-		"mergeParent":                                     -9.412955,
-		"message":                                         -7.333513,
-		"messages":                                        -9.007490,
-		"method":                                          -6.773897,
-		"method_exists":                                   -8.719807,
-		"methods":                                         -8.719807,
-		"migrated":                                        -10.106102,
-		"mit":                                             -9.412955,
-		"modParams":                                       -10.106102,
-		"model":                                           -6.928048,
-		"modelClass":                                      -7.333513,
-		"modelName":                                       -9.007490,
-		"models":                                          -9.412955,
-		"module":                                          -8.719807,
-		"more":                                            -9.412955,
-		"most":                                            -10.106102,
-		"msgHTML":                                         -10.106102,
-		"must":                                            -9.412955,
-		"n":                                               -7.908877,
-		"name":                                            -5.246289,
-		"names":                                           -9.412955,
-		"names.":                                          -10.106102,
-		"namespace":                                       -7.272888,
-		"namespace.substr":                                -10.106102,
-		"namespacedCommands":                              -8.496664,
-		"namespaces":                                      -8.719807,
-		"net":                                             -10.106102,
-		"new":                                             -6.194079,
-		"newData":                                         -8.496664,
-		"newJoins":                                        -8.160192,
-		"newValues":                                       -8.026660,
-		"node":                                            -6.468516,
-		"nodeName":                                        -7.541152,
-		"not":                                             -8.719807,
-		"notEmpty":                                        -8.719807,
-		"notice":                                          -9.412955,
-		"null":                                            -5.361170,
-		"number":                                          -10.106102,
-		"numeric":                                         -10.106102,
-		"ob_end_clean":                                    -10.106102,
-		"ob_get_contents":                                 -10.106102,
-		"ob_start":                                        -10.106102,
-		"object":                                          -8.160192,
-		"objects":                                         -9.412955,
-		"of":                                              -7.015059,
-		"offsetExists":                                    -10.106102,
-		"offsetGet":                                       -10.106102,
-		"offsetSet":                                       -10.106102,
-		"offsetUnset":                                     -10.106102,
-		"old":                                             -9.412955,
-		"oldJoin":                                         -8.719807,
-		"oldLinks":                                        -8.719807,
-		"old_theme_path":                                  -9.412955,
-		"on":                                              -8.496664,
-		"one":                                             -7.398052,
-		"online":                                          -10.106102,
-		"op":                                              -7.908877,
-		"opensource":                                      -9.412955,
-		"option":                                          -8.496664,
-		"options":                                         -5.663451,
-		"or":                                              -7.908877,
-		"order":                                           -9.007490,
-		"org":                                             -7.803517,
-		"organization":                                    -10.106102,
-		"other":                                           -10.106102,
-		"output":                                          -6.214282,
-		"overwrite":                                       -10.106102,
-		"p":                                               -9.007490,
-		"package":                                         -8.496664,
-		"pages":                                           -10.106102,
-		"paginate":                                        -9.007490,
-		"panel_args":                                      -10.106102,
-		"parameters":                                      -8.719807,
-		"params":                                          -7.272888,
-		"parent":                                          -7.908877,
-		"parentNode":                                      -10.106102,
-		"parse_str":                                       -9.412955,
-		"parse_url":                                       -9.007490,
-		"part":                                            -7.398052,
-		"parts":                                           -8.719807,
-		"passedArgs":                                      -9.412955,
-		"path":                                            -7.061579,
-		"path.":                                           -10.106102,
-		"pause":                                           -9.412955,
-		"performing":                                      -9.412955,
-		"permission":                                      -10.106102,
-		"permissions":                                     -10.106102,
-		"photo":                                           -10.106102,
-		"php":                                             -7.333513,
-		"php_eval":                                        -10.106102,
-		"php_filter_info":                                 -10.106102,
-		"php_help":                                        -10.106102,
-		"php_wrappers":                                    -10.106102,
-		"pipes":                                           -8.719807,
-		"plain":                                           -9.412955,
-		"please":                                          -9.007490,
-		"plugin":                                          -7.541152,
-		"pluginController":                                -7.908877,
-		"pluginDot":                                       -8.719807,
-		"pluginName":                                      -10.106102,
-		"pluginSplit":                                     -8.496664,
-		"pluginVars":                                      -9.007490,
-		"pluralized":                                      -10.106102,
-		"pos":                                             -9.007490,
-		"posix_isatty":                                    -10.106102,
-		"possibly":                                        -10.106102,
-		"postConditions":                                  -10.106102,
-		"powerful":                                        -10.106102,
-		"prefix":                                          -9.412955,
-		"prefixed":                                        -10.106102,
-		"prefixes":                                        -8.719807,
-		"preg_match":                                      -9.412955,
-		"preg_replace":                                    -9.412955,
-		"primary":                                         -10.106102,
-		"primaryAdded":                                    -9.007490,
-		"primaryKey":                                      -6.672115,
-		"print":                                           -10.106102,
-		"printed":                                         -9.412955,
-		"private":                                         -6.970608,
-		"privateAction":                                   -8.719807,
-		"proc_close":                                      -10.106102,
-		"proc_open":                                       -10.106102,
-		"process":                                         -7.803517,
-		"processed.":                                      -10.106102,
-		"proper":                                          -10.106102,
-		"properties":                                      -8.719807,
-		"protected":                                       -6.442540,
-		"provide":                                         -10.106102,
-		"public":                                          -5.115669,
-		"purpose":                                         -10.106102,
-		"qs":                                              -8.719807,
-		"query":                                           -5.724075,
-		"queryString":                                     -9.412955,
-		"r":                                               -10.106102,
-		"re":                                              -10.106102,
-		"read":                                            -9.007490,
-		"readFieldBegin":                                  -10.106102,
-		"readFieldEnd":                                    -10.106102,
-		"readString":                                      -10.106102,
-		"readStructBegin":                                 -10.106102,
-		"readStructEnd":                                   -10.106102,
-		"record":                                          -7.803517,
-		"recordData":                                      -9.412955,
-		"records":                                         -8.314342,
-		"recursive":                                       -8.026660,
-		"redirect":                                        -8.314342,
-		"redirection":                                     -9.412955,
-		"referenced":                                      -10.106102,
-		"register":                                        -10.106102,
-		"registry":                                        -8.719807,
-		"regular":                                         -10.106102,
-		"relation":                                        -8.160192,
-		"relational":                                      -9.412955,
-		"reload":                                          -10.106102,
-		"remove":                                          -8.719807,
-		"render":                                          -10.106102,
-		"renderException":                                 -9.412955,
-		"rendering":                                       -10.106102,
-		"reply":                                           -10.106102,
-		"request":                                         -6.117118,
-		"request.":                                        -10.106102,
-		"requestFromRequest":                              -8.719807,
-		"require":                                         -8.496664,
-		"required":                                        -9.412955,
-		"resetAssociations":                               -10.106102,
-		"resource":                                        -10.106102,
-		"resources":                                       -10.106102,
-		"resp":                                            -8.314342,
-		"response":                                        -6.848005,
-		"response.":                                       -9.412955,
-		"responsible":                                     -10.106102,
-		"restart":                                         -10.106102,
-		"restrict":                                        -10.106102,
-		"result":                                          -7.110370,
-		"results":                                         -7.398052,
-		"retain":                                          -9.412955,
-		"return":                                          -4.642270,
-		"returned":                                        -9.412955,
-		"reverse":                                         -10.106102,
-		"risk":                                            -10.106102,
-		"rollback":                                        -9.412955,
-		"root":                                            -8.719807,
-		"routing.":                                        -10.106102,
-		"row":                                             -7.272888,
-		"run":                                             -8.719807,
-		"runningCommand":                                  -9.007490,
-		"s":                                               -9.412955,
-		"save":                                            -7.908877,
-		"saveAll":                                         -10.106102,
-		"saveAssociated":                                  -8.496664,
-		"saveField":                                       -10.106102,
-		"saveMany":                                        -9.007490,
-		"saved":                                           -7.215730,
-		"savedAssociatons":                                -9.007490,
-		"scaffold":                                        -10.106102,
-		"scaffoldError":                                   -9.412955,
-		"schema":                                          -7.803517,
-		"scope":                                           -9.412955,
-		"scripting":                                       -10.106102,
-		"searchName":                                      -7.541152,
-		"security":                                        -10.106102,
-		"see":                                             -10.106102,
-		"segment":                                         -10.106102,
-		"segments":                                        -7.708207,
-		"selects":                                         -10.106102,
-		"self":                                            -9.007490,
-		"send":                                            -9.412955,
-		"sending":                                         -10.106102,
-		"sent":                                            -9.412955,
-		"sep":                                             -10.106102,
-		"sep.":                                            -10.106102,
-		"server":                                          -7.110370,
-		"serves":                                          -10.106102,
-		"session_write_close":                             -10.106102,
-		"set":                                             -6.928048,
-		"setApplication":                                  -9.412955,
-		"setAutoExit":                                     -10.106102,
-		"setCatchExceptions":                              -10.106102,
-		"setCommand":                                      -10.106102,
-		"setDecorated":                                    -9.412955,
-		"setFinder":                                       -9.412955,
-		"setFrom":                                         -10.106102,
-		"setHelperSet":                                    -10.106102,
-		"setInteractive":                                  -9.412955,
-		"setName":                                         -10.106102,
-		"setNode":                                         -10.106102,
-		"setRequest":                                      -10.106102,
-		"setRiskyAllowed":                                 -9.412955,
-		"setRules":                                        -9.412955,
-		"setServerParameter":                              -10.106102,
-		"setServerParameters":                             -9.412955,
-		"setValue":                                        -10.106102,
-		"setValues":                                       -9.412955,
-		"setVerbosity":                                    -9.412955,
-		"setVersion":                                      -10.106102,
-		"settings":                                        -9.412955,
-		"should":                                          -9.007490,
-		"shows":                                           -10.106102,
-		"shutdownProcess":                                 -10.106102,
-		"significant":                                     -10.106102,
-		"since":                                           -9.412955,
-		"single":                                          -10.106102,
-		"site":                                            -9.007490,
-		"ski":                                             -9.412955,
-		"skip":                                            -9.412955,
-		"snippets":                                        -9.007490,
-		"sortCommands":                                    -10.106102,
-		"source":                                          -8.160192,
-		"specific":                                        -10.106102,
-		"sprintf":                                         -7.215730,
-		"stand":                                           -10.106102,
-		"startQuote":                                      -8.719807,
-		"state":                                           -7.908877,
-		"static":                                          -8.160192,
-		"status":                                          -7.398052,
-		"statusCode":                                      -7.467044,
-		"stdClass":                                        -10.106102,
-		"stdin":                                           -10.106102,
-		"str_replace":                                     -9.412955,
-		"stream_get_contents":                             -10.106102,
-		"string":                                          -9.007490,
-		"strlen":                                          -8.496664,
-		"strpos":                                          -7.621195,
-		"strrpos":                                         -9.412955,
-		"strtotime":                                       -10.106102,
-		"strtoupper":                                      -9.007490,
-		"subject":                                         -9.007490,
-		"submit":                                          -9.412955,
-		"substr":                                          -8.496664,
-		"substr_count":                                    -10.106102,
-		"subtype":                                         -10.106102,
-		"success":                                         -7.803517,
-		"such":                                            -9.412955,
-		"suggestions":                                     -9.412955,
-		"surrounded":                                      -10.106102,
-		"switch":                                          -9.007490,
-		"symfony":                                         -8.496664,
-		"sys_get_temp_dir":                                -9.412955,
-		"system":                                          -10.106102,
-		"t":                                               -6.848005,
-		"table":                                           -8.496664,
-		"tables":                                          -10.106102,
-		"tags":                                            -10.106102,
-		"takes":                                           -10.106102,
-		"target":                                          -7.110370,
-		"text":                                            -8.160192,
-		"text.":                                           -10.106102,
-		"that":                                            -7.708207,
-		"the":                                             -6.214282,
-		"theme_info":                                      -9.007490,
-		"theme_path":                                      -8.496664,
-		"this":                                            -3.581072,
-		"through":                                         -10.106102,
-		"throw":                                           -7.398052,
-		"time":                                            -9.007490,
-		"timeFields":                                      -9.412955,
-		"title":                                           -8.026660,
-		"title_link":                                      -10.106102,
-		"tm":                                              -8.314342,
-		"to":                                              -7.110370,
-		"toArray":                                         -10.106102,
-		"tokenize":                                        -10.106102,
-		"transactionBegun":                                -8.719807,
-		"trigger_error":                                   -10.106102,
-		"trim":                                            -10.106102,
-		"true":                                            -5.238567,
-		"trusted":                                         -9.007490,
-		"try":                                             -9.412955,
-		"two":                                             -9.412955,
-		"type":                                            -6.609594,
-		"ucfirst":                                         -9.412955,
-		"under":                                           -9.412955,
-		"underscore":                                      -10.106102,
-		"unlike":                                          -10.106102,
-		"unserialize":                                     -10.106102,
-		"unset":                                           -7.908877,
-		"update":                                          -9.412955,
-		"updateAll":                                       -9.007490,
-		"updateCol":                                       -8.314342,
-		"updateCounterCache":                              -8.314342,
-		"updateFromResponse":                              -10.106102,
-		"uri":                                             -6.970608,
-		"url":                                             -7.398052,
-		"urls":                                            -10.106102,
-		"use":                                             -6.579741,
-		"use.":                                            -10.106102,
-		"useDbConfig":                                     -9.412955,
-		"useNewDate":                                      -9.412955,
-		"useTable":                                        -8.719807,
-		"used":                                            -9.007490,
-		"user":                                            -9.412955,
-		"user.":                                           -10.106102,
-		"users":                                           -9.412955,
-		"uses":                                            -6.468516,
-		"using":                                           -9.007490,
-		"usually":                                         -10.106102,
-		"uuid":                                            -9.007490,
-		"v":                                               -7.272888,
-		"val":                                             -6.810265,
-		"validate":                                        -8.160192,
-		"validateAssociated":                              -8.496664,
-		"validateMany":                                    -8.719807,
-		"validates":                                       -6.011757,
-		"validationErrors":                                -6.299439,
-		"vals":                                            -8.719807,
-		"value":                                           -6.810265,
-		"values":                                          -6.135810,
-		"var":                                             -9.412955,
-		"variables":                                       -10.106102,
-		"vendor":                                          -9.412955,
-		"version":                                         -8.026660,
-		"versions":                                        -10.106102,
-		"view":                                            -8.496664,
-		"viewClass":                                       -10.106102,
-		"viewPath":                                        -10.106102,
-		"viewVars":                                        -10.106102,
-		"views":                                           -10.106102,
-		"virtualFields":                                   -8.314342,
-		"visiting.":                                       -9.007490,
-		"visitor":                                         -9.412955,
-		"walk":                                            -9.007490,
-		"wantHelps":                                       -8.719807,
-		"was":                                             -9.007490,
-		"we":                                              -9.412955,
-		"web":                                             -9.412955,
-		"were":                                            -9.412955,
-		"when":                                            -9.412955,
-		"which":                                           -9.007490,
-		"while":                                           -8.496664,
-		"whitelist":                                       -7.541152,
-		"who":                                             -9.412955,
-		"widely":                                          -10.106102,
-		"will":                                            -9.412955,
-		"with":                                            -7.398052,
-		"withModel":                                       -8.719807,
-		"words":                                           -10.106102,
-		"would":                                           -10.106102,
-		"wrapper":                                         -10.106102,
-		"write":                                           -10.106102,
-		"writeFieldBegin":                                 -10.106102,
-		"writeFieldEnd":                                   -10.106102,
-		"writeFieldStop":                                  -10.106102,
-		"writeString":                                     -10.106102,
-		"writeStructBegin":                                -10.106102,
-		"writeStructEnd":                                  -10.106102,
-		"writeln":                                         -10.106102,
-		"www":                                             -8.719807,
-		"x":                                               -8.719807,
-		"xfer":                                            -7.272888,
-		"xml":                                             -9.412955,
-		"xpath":                                           -9.412955,
-		"y":                                               -9.412955,
-		"yii":                                             -9.412955,
-		"yii2":                                            -10.106102,
-		"yiiframework":                                    -9.412955,
-		"yiisoft":                                         -10.106102,
-		"you":                                             -8.719807,
-		"your":                                            -9.412955,
-		"{":                                               -3.470155,
-		"|":                                               -10.106102,
-		"||":                                              -6.174276,
-		"}":                                               -3.468844,
-		"ń":                                               -9.412955,
+		"!":                   -4.813809,
+		"#":                   -8.725832,
+		"$":                   -2.037166,
+		"&":                   -7.339538,
+		"&&":                  -5.448687,
+		"'":                   -10.112126,
+		"(":                   -2.544781,
+		")":                   -2.542715,
+		"*":                   -6.123142,
+		"*/":                  -8.032685,
+		"+":                   -7.067604,
+		",":                   -3.561046,
+		"-":                   -3.217456,
+		".":                   -5.341442,
+		".*":                  -9.013514,
+		"/":                   -8.320367,
+		"/**":                 -10.112126,
+		"//Attach":            -10.112126,
+		"//Read":              -10.112126,
+		"//Replace":           -10.112126,
+		"//Set":               -9.013514,
+		"//TODO":              -10.112126,
+		"//book.cakephp.org/": -9.418979,
+		"//convert":           -10.112126,
+		"//drupal.org/handbook/customization/php": -10.112126,
+		"//drupal.org/handbook/modules/php/":      -10.112126,
+		"//send":                                  -10.112126,
+		"//www.php.net":                           -10.112126,
+		"/en/controllers.html":                    -10.112126,
+		"/en/models.html":                         -10.112126,
+		"/h":                                      -9.418979,
+		"/posts/index":                            -10.112126,
+		"/usr/bin/env":                            -9.013514,
+		"/usr/bin/php":                            -10.112126,
+		"0":                                       -8.502689,
+		"1":                                       -10.112126,
+		"10":                                      -10.112126,
+		"2":                                       -10.112126,
+		"2005":                                    -8.725832,
+		"2008":                                    -10.112126,
+		"2012":                                    -8.725832,
+		"3":                                       -10.112126,
+		"5":                                       -10.112126,
+		"9":                                       -9.418979,
+		":":                                       -5.333003,
+		";":                                       -3.132050,
+		"<":                                       -7.547177,
+		"</a>":                                    -8.166216,
+		"</code>":                                 -7.914902,
+		"</comment>":                              -8.166216,
+		"</dd>":                                   -10.112126,
+		"</div>":                                  -10.112126,
+		"</dl>":                                   -10.112126,
+		"</dt>":                                   -10.112126,
+		"</em>":                                   -10.112126,
+		"</error>":                                -10.112126,
+		"</info>":                                 -8.166216,
+		"</li>":                                   -7.809541,
+		"</p>":                                    -8.032685,
+		"</pre>":                                  -9.418979,
+		"</strong>":                               -9.418979,
+		"</ul>":                                   -9.418979,
+		"<?>":                                     -10.112126,
+		"<?php>":                                  -7.339538,
+		"<SubFolder>":                             -10.112126,
+		"<a>":                                     -8.166216,
+		"<base>":                                  -10.112126,
+		"<code>":                                  -8.032685,
+		"<comment>":                               -8.166216,
+		"<dariusz.ruminski@gmail.com>":            -9.418979,
+		"<dd>":                                    -10.112126,
+		"<div>":                                   -10.112126,
+		"<dl>":                                    -10.112126,
+		"<dt>":                                    -10.112126,
+		"<em>":                                    -10.112126,
+		"<error>":                                 -10.112126,
+		"<extra>":                                 -9.418979,
+		"<fabien@symfony.com>":                    -9.013514,
+		"<field>":                                 -10.112126,
+		"<info>":                                  -8.166216,
+		"<li>":                                    -7.809541,
+		"<p>":                                     -8.032685,
+		"<pre>":                                   -9.418979,
+		"<segment>":                               -10.112126,
+		"<strong>":                                -9.418979,
+		"<ul>":                                    -9.418979,
+		"=":                                       -2.865046,
+		">":                                       -3.022050,
+		"?":                                       -6.501209,
+		"@filter":                                 -10.112126,
+		"@ingroup":                                -10.112126,
+		"@link":                                   -9.418979,
+		"@name":                                   -9.418979,
+		"@package":                                -9.418979,
+		"@param":                                  -10.112126,
+		"@php":                                    -9.013514,
+		"@property":                               -8.032685,
+		"@return":                                 -9.418979,
+		"A":                                       -10.112126,
+		"ARE":                                     -9.418979,
+		"About":                                   -9.418979,
+		"Acl":                                     -10.112126,
+		"AclComponent":                            -10.112126,
+		"Acquia":                                  -10.112126,
+		"Additional":                              -10.112126,
+		"After":                                   -10.112126,
+		"AltBody":                                 -10.112126,
+		"App":                                     -7.221755,
+		"AppModel":                                -10.112126,
+		"Application":                             -9.013514,
+		"ArgvInput":                               -9.418979,
+		"Array":                                   -7.547177,
+		"ArrayAccess":                             -10.112126,
+		"ArrayInput":                              -9.013514,
+		"Auth":                                    -10.112126,
+		"AuthComponent":                           -10.112126,
+		"Autogenerated":                           -10.112126,
+		"Automatically":                           -10.112126,
+		"Base":                                    -10.112126,
+		"BehaviorCollection":                      -10.112126,
+		"Behaviors":                               -9.013514,
+		"Blog":                                    -10.112126,
+		"Boolean":                                 -8.725832,
+		"BrowserKit":                              -10.112126,
+		"By":                                      -10.112126,
+		"CS":                                      -9.418979,
+		"Cake":                                    -8.166216,
+		"Cake.Controller":                         -10.112126,
+		"Cake.Model":                              -10.112126,
+		"CakeEvent":                               -7.914902,
+		"CakeEventListener":                       -8.725832,
+		"CakeEventManager":                        -8.725832,
+		"CakePHP":                                 -8.320367,
+		"CakeRequest":                             -9.013514,
+		"CakeResponse":                            -10.112126,
+		"ChoiceFormField":                         -9.418979,
+		"ClassRegistry":                           -8.725832,
+		"Client":                                  -10.112126,
+		"Command":                                 -8.320367,
+		"Compiler":                                -10.112126,
+		"Component":                               -6.934073,
+		"ComponentCollection":                     -10.112126,
+		"Components":                              -9.418979,
+		"Config":                                  -9.418979,
+		"ConnectionManager":                       -10.112126,
+		"Console":                                 -7.278913,
+		"ConsoleOutput":                           -9.418979,
+		"ConsoleOutputInterface":                  -9.418979,
+		"Contact":                                 -10.112126,
+		"Controller":                              -8.725832,
+		"Controllers":                             -9.418979,
+		"Cookie":                                  -10.112126,
+		"CookieComponent":                         -10.112126,
+		"CookieJar":                               -9.418979,
+		"Copyright":                               -8.502689,
+		"Crawler":                                 -9.418979,
+		"Create":                                  -10.112126,
+		"DBO":                                     -9.418979,
+		"DO":                                      -9.418979,
+		"DOING":                                   -10.112126,
+		"DOMDocument":                             -10.112126,
+		"DOMNode":                                 -9.013514,
+		"DOMXPath":                                -10.112126,
+		"Dariusz":                                 -9.418979,
+		"Development":                             -9.418979,
+		"DialogHelper":                            -10.112126,
+		"Dispatcher":                              -10.112126,
+		"DomCrawler":                              -8.502689,
+		"Drupal":                                  -10.112126,
+		"Drupal.org.":                             -10.112126,
+		"EDIT":                                    -9.418979,
+		"EOF":                                     -8.725832,
+		"EXTR_OVERWRITE":                          -9.013514,
+		"E_USER_WARNING":                          -10.112126,
+		"Each":                                    -10.112126,
+		"Email":                                   -10.112126,
+		"EmailComponent":                          -10.112126,
+		"Enabling":                                -10.112126,
+		"ErrorInfo":                               -10.112126,
+		"Even":                                    -10.112126,
+		"Event":                                   -8.320367,
+		"Example":                                 -9.418979,
+		"Exception":                               -8.320367,
+		"FALSE":                                   -9.418979,
+		"FILES":                                   -10.112126,
+		"Fabien":                                  -8.502689,
+		"Field":                                   -7.914902,
+		"FileFormField":                           -9.013514,
+		"Finder":                                  -9.418979,
+		"Fixer":                                   -9.418979,
+		"For":                                     -8.320367,
+		"Form":                                    -8.725832,
+		"FormField":                               -9.013514,
+		"FormFieldRegistry":                       -9.418979,
+		"FormatterHelper":                         -10.112126,
+		"Foundation":                              -8.725832,
+		"Framework":                               -9.418979,
+		"GET":                                     -10.112126,
+		"GPBMetadata":                             -10.112126,
+		"GPBType":                                 -10.112126,
+		"GPBUtil":                                 -9.418979,
+		"Generated":                               -9.418979,
+		"Google":                                  -8.725832,
+		"HTML":                                    -9.418979,
+		"HelpCommand":                             -10.112126,
+		"Helper":                                  -9.013514,
+		"HelperSet":                               -9.418979,
+		"History":                                 -9.418979,
+		"I":                                       -10.112126,
+		"Implements":                              -10.112126,
+		"In":                                      -10.112126,
+		"Inc":                                     -8.725832,
+		"Inflector":                               -9.013514,
+		"Input":                                   -8.320367,
+		"InputArgument":                           -10.112126,
+		"InputDefinition":                         -10.112126,
+		"InputFormField":                          -9.418979,
+		"InputInterface":                          -9.013514,
+		"InputOption":                             -10.112126,
+		"Internal":                                -8.725832,
+		"InvalidArgumentException":                -8.032685,
+		"Isabelle":                                -10.112126,
+		"It":                                      -10.112126,
+		"Item":                                    -10.112126,
+		"KNOW":                                    -10.112126,
+		"LICENSE":                                 -9.013514,
+		"LICENSE.":                                -9.418979,
+		"LLC":                                     -10.112126,
+		"License":                                 -8.725832,
+		"Licensed":                                -9.418979,
+		"Link":                                    -9.013514,
+		"ListCommand":                             -10.112126,
+		"LogicException":                          -8.725832,
+		"MIT":                                     -8.320367,
+		"Malformed":                               -10.112126,
+		"Message":                                 -10.112126,
+		"Messages":                                -10.112126,
+		"MissingModelException":                   -10.112126,
+		"Model":                                   -8.502689,
+		"ModelBehavior":                           -10.112126,
+		"NOT":                                     -9.418979,
+		"NULL":                                    -9.418979,
+		"Network":                                 -10.112126,
+		"Object":                                  -8.725832,
+		"Only":                                    -10.112126,
+		"Output":                                  -8.502689,
+		"OutputInterface":                         -8.502689,
+		"P":                                       -8.725832,
+		"PHP":                                     -6.976632,
+		"PHPMailer":                               -9.418979,
+		"PHPMailerAutoload":                       -10.112126,
+		"PHP_EOL":                                 -10.112126,
+		"PHP_URL_HOST":                            -10.112126,
+		"PHP_URL_PATH":                            -10.112126,
+		"PHP_URL_SCHEME":                          -10.112126,
+		"POST":                                    -10.112126,
+		"Paginator":                               -10.112126,
+		"PaginatorComponent":                      -10.112126,
+		"Photos":                                  -10.112126,
+		"PhpCsFixer":                              -8.725832,
+		"PhpProcess":                              -9.418979,
+		"Plugins":                                 -10.112126,
+		"PostsController":                         -10.112126,
+		"Potencier":                               -8.502689,
+		"Process":                                 -10.112126,
+		"Project":                                 -9.418979,
+		"Protobuf":                                -8.725832,
+		"Protocol":                                -9.418979,
+		"Provides":                                -10.112126,
+		"PullRequest":                             -10.112126,
+		"ROOT":                                    -10.112126,
+		"Rapid":                                   -9.418979,
+		"Redistributions":                         -9.418979,
+		"ReflectionMethod":                        -10.112126,
+		"Remove":                                  -10.112126,
+		"RepeatedField":                           -10.112126,
+		"Request":                                 -9.013514,
+		"RequestHandler":                          -10.112126,
+		"RequestHandlerComponent":                 -10.112126,
+		"Router":                                  -8.502689,
+		"Rumi":                                    -9.418979,
+		"RuntimeException":                        -9.418979,
+		"SHEBANG#!php":                            -8.725832,
+		"SITE_DIR":                                -8.725832,
+		"STDIN":                                   -9.013514,
+		"STOP":                                    -10.112126,
+		"STRING":                                  -9.013514,
+		"SURE":                                    -10.112126,
+		"Scaffold":                                -10.112126,
+		"Security":                                -10.112126,
+		"SecurityComponent":                       -10.112126,
+		"Session":                                 -10.112126,
+		"SessionComponent":                        -10.112126,
+		"Set":                                     -8.032685,
+		"Shared":                                  -10.112126,
+		"SimpleXMLElement":                        -10.112126,
+		"Software":                                -8.502689,
+		"Splits":                                  -10.112126,
+		"String":                                  -8.502689,
+		"Subject":                                 -10.112126,
+		"Symfony":                                 -6.816290,
+		"TApplicationException":                   -10.112126,
+		"TBase":                                   -10.112126,
+		"TBinaryProtocolAccelerated":              -10.112126,
+		"TException":                              -10.112126,
+		"THAT":                                    -10.112126,
+		"TMessageType":                            -10.112126,
+		"TProtocol":                               -10.112126,
+		"TProtocolException":                      -10.112126,
+		"TRUE":                                    -9.418979,
+		"TType":                                   -8.502689,
+		"Test":                                    -9.418979,
+		"TextareaFormField":                       -10.112126,
+		"Thank":                                   -9.013514,
+		"The":                                     -8.166216,
+		"These":                                   -10.112126,
+		"This":                                    -7.809541,
+		"Thrift":                                  -7.914902,
+		"True":                                    -10.112126,
+		"Type":                                    -9.418979,
+		"UNLESS":                                  -10.112126,
+		"Unlike":                                  -10.112126,
+		"Use":                                     -10.112126,
+		"User":                                    -10.112126,
+		"Uses":                                    -10.112126,
+		"Using":                                   -10.112126,
+		"Utility":                                 -8.320367,
+		"VERBOSITY_QUIET":                         -10.112126,
+		"VERBOSITY_VERBOSE":                       -10.112126,
+		"Validation":                              -10.112126,
+		"View":                                    -9.013514,
+		"WHAT":                                    -10.112126,
+		"Welcome":                                 -9.013514,
+		"While":                                   -10.112126,
+		"Xml":                                     -9.418979,
+		"YII_DEBUG":                               -9.418979,
+		"YOU":                                     -9.013514,
+		"Yii":                                     -9.013514,
+		"You":                                     -9.418979,
+		"[":                                       -3.778847,
+		"\\":                                      -5.199472,
+		"]":                                       -3.782406,
+		"^":                                       -9.013514,
+		"_":                                       -10.112126,
+		"_GET":                                    -10.112126,
+		"_SERVER":                                 -10.112126,
+		"_TSPEC":                                  -9.013514,
+		"__DIR__":                                 -8.502689,
+		"__FILE__":                                -10.112126,
+		"__backAssociation":                       -8.166216,
+		"__call":                                  -10.112126,
+		"__construct":                             -8.166216,
+		"__d":                                     -10.112126,
+		"__isset":                                 -10.112126,
+		"_afterScaffoldSave":                      -10.112126,
+		"_afterScaffoldSaveError":                 -10.112126,
+		"_associations":                           -10.112126,
+		"_beforeScaffold":                         -10.112126,
+		"_clearCache":                             -9.418979,
+		"_collectForeignKeys":                     -9.418979,
+		"_constructLinkedModel":                   -10.112126,
+		"_deleteDependent":                        -9.013514,
+		"_deleteLinks":                            -9.013514,
+		"_eventManager":                           -8.502689,
+		"_filterResults":                          -10.112126,
+		"_findCount":                              -10.112126,
+		"_findFirst":                              -10.112126,
+		"_findList":                               -10.112126,
+		"_getScaffold":                            -10.112126,
+		"_id":                                     -9.418979,
+		"_isPrivateAction":                        -10.112126,
+		"_mergeControllerVars":                    -10.112126,
+		"_mergeParent":                            -9.013514,
+		"_mergeUses":                              -9.013514,
+		"_mergeVars":                              -9.418979,
+		"_normalizeXmlData":                       -9.013514,
+		"_parseBeforeRedirect":                    -9.418979,
+		"_php_filter_tips":                        -10.112126,
+		"_prepareUpdateFields":                    -9.418979,
+		"_responseClass":                          -10.112126,
+		"_return":                                 -9.013514,
+		"_saveMulti":                              -9.418979,
+		"_scaffoldError":                          -10.112126,
+		"_schema":                                 -8.320367,
+		"_setAliasData":                           -9.418979,
+		"_stop":                                   -10.112126,
+		"_whitelist":                              -8.725832,
+		"`":                                       -8.166216,
+		"a":                                       -6.744831,
+		"aMenuLinks":                              -10.112126,
+		"abbrev":                                  -8.725832,
+		"abbrevs":                                 -6.744831,
+		"ability":                                 -10.112126,
+		"about":                                   -10.112126,
+		"above":                                   -9.418979,
+		"abstract":                                -9.418979,
+		"access":                                  -9.418979,
+		"accidentally":                            -10.112126,
+		"acquia":                                  -10.112126,
+		"action":                                  -9.013514,
+		"action.":                                 -10.112126,
+		"actions":                                 -9.418979,
+		"add":                                     -8.166216,
+		"addAddress":                              -10.112126,
+		"addAttachment":                           -10.112126,
+		"addChoice":                               -10.112126,
+		"addCommands":                             -10.112126,
+		"addContent":                              -10.112126,
+		"addReplyTo":                              -10.112126,
+		"added":                                   -10.112126,
+		"adding":                                  -10.112126,
+		"address":                                 -10.112126,
+		"adds":                                    -9.418979,
+		"admin":                                   -10.112126,
+		"admin/help/filter":                       -10.112126,
+		"afterFilter":                             -10.112126,
+		"afterScaffoldSave":                       -9.418979,
+		"afterScaffoldSaveError":                  -9.418979,
+		"alias":                                   -5.953243,
+		"aliases":                                 -8.032685,
+		"all":                                     -7.809541,
+		"allNamespaces":                           -9.013514,
+		"allValues":                               -10.112126,
+		"allows":                                  -9.418979,
+		"alone":                                   -10.112126,
+		"also":                                    -10.112126,
+		"alternative":                             -9.418979,
+		"alternatives":                            -7.809541,
+		"am":                                      -10.112126,
+		"an":                                      -8.502689,
+		"and":                                     -7.547177,
+		"another":                                 -10.112126,
+		"any":                                     -9.418979,
+		"appVars":                                 -8.320367,
+		"appendChild":                             -9.013514,
+		"application":                             -9.418979,
+		"are":                                     -8.166216,
+		"arg":                                     -10.112126,
+		"array":                                   -4.610868,
+		"arrayOp":                                 -9.418979,
+		"array_combine":                           -10.112126,
+		"array_diff":                              -9.418979,
+		"array_filter":                            -9.418979,
+		"array_flip":                              -10.112126,
+		"array_intersect":                         -10.112126,
+		"array_key_exists":                        -7.809541,
+		"array_keys":                              -8.166216,
+		"array_map":                               -10.112126,
+		"array_merge":                             -6.744831,
+		"array_pop":                               -10.112126,
+		"array_search":                            -10.112126,
+		"array_shift":                             -8.502689,
+		"array_slice":                             -10.112126,
+		"array_unique":                            -8.725832,
+		"array_unshift":                           -10.112126,
+		"array_values":                            -8.502689,
+		"as":                                      -5.863631,
+		"asort":                                   -10.112126,
+		"aspects":                                 -10.112126,
+		"assoc":                                   -6.423247,
+		"assocKey":                                -8.502689,
+		"association":                             -6.261979,
+		"associationForeignKey":                   -8.502689,
+		"associations":                            -7.914902,
+		"at":                                      -10.112126,
+		"attach":                                  -9.418979,
+		"autoExit":                                -8.725832,
+		"autoLayout":                              -9.418979,
+		"autoRender":                              -8.725832,
+		"autoload":                                -10.112126,
+		"automatic":                               -10.112126,
+		"availability":                            -10.112126,
+		"back":                                    -9.418979,
+		"backed":                                  -9.418979,
+		"base":                                    -7.914902,
+		"base_url":                                -10.112126,
+		"based":                                   -9.418979,
+		"basic":                                   -9.418979,
+		"be":                                      -8.166216,
+		"been":                                    -10.112126,
+		"before":                                  -10.112126,
+		"beforeFilter":                            -10.112126,
+		"beforeRedirect":                          -10.112126,
+		"beforeRender":                            -10.112126,
+		"beforeScaffold":                          -9.418979,
+		"begin":                                   -9.418979,
+		"belongsTo":                               -8.320367,
+		"block":                                   -7.809541,
+		"body":                                    -8.725832,
+		"bool":                                    -8.502689,
+		"boolean":                                 -8.725832,
+		"bootstrap":                               -10.112126,
+		"both":                                    -10.112126,
+		"break":                                   -7.404076,
+		"breakOn":                                 -8.725832,
+		"browser":                                 -10.112126,
+		"buffer":                                  -10.112126,
+		"buffering":                               -10.112126,
+		"buildQuery":                              -9.418979,
+		"bundled":                                 -9.418979,
+		"business":                                -10.112126,
+		"button":                                  -8.320367,
+		"by":                                      -7.809541,
+		"c":                                       -8.320367,
+		"cache":                                   -9.418979,
+		"cacheAction":                             -10.112126,
+		"cacheSources":                            -9.013514,
+		"cakefoundation":                          -8.725832,
+		"cakephp":                                 -8.725832,
+		"calculate":                               -9.418979,
+		"call.":                                   -10.112126,
+		"call_user_func":                          -9.418979,
+		"callback":                                -8.502689,
+		"callbacks":                               -8.725832,
+		"calling":                                 -10.112126,
+		"camelize":                                -9.418979,
+		"can":                                     -8.725832,
+		"capture":                                 -10.112126,
+		"carefully":                               -10.112126,
+		"cascade":                                 -7.809541,
+		"case":                                    -8.502689,
+		"catch":                                   -9.418979,
+		"catchExceptions":                         -8.725832,
+		"changeHistory":                           -8.725832,
+		"check":                                   -10.112126,
+		"checkString":                             -10.112126,
+		"class":                                   -7.404076,
+		"className":                               -7.547177,
+		"class_exists":                            -9.418979,
+		"clear":                                   -9.418979,
+		"click":                                   -10.112126,
+		"clone":                                   -10.112126,
+		"code":                                    -7.278913,
+		"code.":                                   -8.502689,
+		"codes":                                   -9.013514,
+		"colType":                                 -8.725832,
+		"collectReturn":                           -10.112126,
+		"collection":                              -9.013514,
+		"cols":                                    -8.166216,
+		"column":                                  -7.809541,
+		"columns":                                 -8.502689,
+		"com":                                     -8.032685,
+		"combine":                                 -10.112126,
+		"command":                                 -6.678139,
+		"commands":                                -6.893251,
+		"comment":                                 -10.112126,
+		"commit":                                  -9.418979,
+		"compact":                                 -8.320367,
+		"compiler":                                -10.112126,
+		"components":                              -10.112126,
+		"compromise":                              -10.112126,
+		"cond":                                    -8.502689,
+		"conditions":                              -6.474540,
+		"conf":                                    -8.032685,
+		"config":                                  -9.013514,
+		"connect":                                 -10.112126,
+		"console":                                 -9.013514,
+		"contacts":                                -10.112126,
+		"containing":                              -10.112126,
+		"contains":                                -10.112126,
+		"content":                                 -8.166216,
+		"context":                                 -8.502689,
+		"continue":                                -8.320367,
+		"controller":                              -9.013514,
+		"controllers":                             -9.418979,
+		"conventional":                            -10.112126,
+		"convert":                                 -10.112126,
+		"cookieJar":                               -7.914902,
+		"copyright":                               -8.032685,
+		"count":                                   -6.976632,
+		"crawler":                                 -8.166216,
+		"create":                                  -7.278913,
+		"createCrawlerFromContent":                -9.418979,
+		"createElement":                           -10.112126,
+		"created":                                 -7.914902,
+		"creating":                                -9.418979,
+		"ctools_context_required":                 -10.112126,
+		"ctools_template_identifier":              -10.112126,
+		"current":                                 -9.013514,
+		"currentUri":                              -8.166216,
+		"custom":                                  -10.112126,
+		"dangerous":                               -10.112126,
+		"data":                                    -4.947340,
+		"database":                                -9.418979,
+		"date":                                    -7.914902,
+		"dateFields":                              -8.502689,
+		"db":                                      -6.474540,
+		"dbMulti":                                 -8.320367,
+		"deconstruct":                             -9.418979,
+		"default":                                 -8.166216,
+		"defaults":                                -8.320367,
+		"define":                                  -9.418979,
+		"defined":                                 -9.013514,
+		"definition":                              -9.013514,
+		"delete":                                  -7.914902,
+		"deleteAll":                               -9.418979,
+		"delta":                                   -9.418979,
+		"describe":                                -10.112126,
+		"described":                               -10.112126,
+		"descriptorspec":                          -9.418979,
+		"developed.":                              -10.112126,
+		"development":                             -10.112126,
+		"dirname":                                 -9.418979,
+		"disableCache":                            -9.418979,
+		"dispatch":                                -8.166216,
+		"dispatchMethod":                          -10.112126,
+		"distributed":                             -9.013514,
+		"do":                                      -10.112126,
+		"do.":                                     -10.112126,
+		"doRequest":                               -9.418979,
+		"doRequestInProcess":                      -9.418979,
+		"doRun":                                   -9.418979,
+		"document":                                -8.320367,
+		"doesn":                                   -10.112126,
+		"drupal_get_path":                         -9.418979,
+		"dynamic":                                 -9.418979,
+		"e":                                       -8.166216,
+		"echo":                                    -8.502689,
+		"editing":                                 -10.112126,
+		"either":                                  -10.112126,
+		"else":                                    -5.953243,
+		"elseif":                                  -6.854030,
+		"embedded":                                -10.112126,
+		"empty":                                   -5.623490,
+		"endQuote":                                -8.725832,
+		"endpoint":                                -10.112126,
+		"ensures":                                 -10.112126,
+		"entering":                                -10.112126,
+		"entity_uri":                              -10.112126,
+		"entry":                                   -10.112126,
+		"errors":                                  -9.418979,
+		"escapeField":                             -8.320367,
+		"eval":                                    -8.725832,
+		"evaluate":                                -10.112126,
+		"evaluate.":                               -10.112126,
+		"evaluated":                               -10.112126,
+		"event":                                   -6.779922,
+		"events":                                  -10.112126,
+		"examined":                                -10.112126,
+		"example":                                 -9.013514,
+		"example.Item":                            -10.112126,
+		"exclude":                                 -9.418979,
+		"exclusive":                               -9.418979,
+		"execute":                                 -10.112126,
+		"executed":                                -10.112126,
+		"execution":                               -10.112126,
+		"exists":                                  -8.320367,
+		"exit":                                    -8.166216,
+		"experience":                              -10.112126,
+		"explode":                                 -8.032685,
+		"expression":                              -10.112126,
+		"ext":                                     -10.112126,
+		"extends":                                 -8.502689,
+		"external":                                -10.112126,
+		"extra":                                   -10.112126,
+		"extract":                                 -8.502689,
+		"extractNamespace":                        -8.166216,
+		"fInfo":                                   -8.725832,
+		"fabien":                                  -8.502689,
+		"false":                                   -5.283813,
+		"fcgi":                                    -10.112126,
+		"fclose":                                  -9.418979,
+		"feature":                                 -10.112126,
+		"fid":                                     -8.725832,
+		"field":                                   -5.892619,
+		"fieldList":                               -10.112126,
+		"fieldName":                               -8.320367,
+		"fieldOp":                                 -7.714231,
+		"fieldSet":                                -9.013514,
+		"fieldValue":                              -8.166216,
+		"fields":                                  -6.327937,
+		"file":                                    -6.893251,
+		"file.":                                   -10.112126,
+		"file_entity_file_display_content_type_edit_form": -10.112126,
+		"file_entity_file_display_content_type_render":    -10.112126,
+		"file_get_contents":                               -10.112126,
+		"file_view_file":                                  -10.112126,
+		"filename":                                        -9.418979,
+		"files":                                           -8.166216,
+		"filter":                                          -7.914902,
+		"filterKey":                                       -9.418979,
+		"filterRequest":                                   -9.418979,
+		"filterResponse":                                  -9.418979,
+		"filters":                                         -9.418979,
+		"find":                                            -7.404076,
+		"findAlternativeCommands":                         -9.418979,
+		"findAlternativeNamespace":                        -9.418979,
+		"findAlternatives":                                -9.013514,
+		"findMethods":                                     -9.418979,
+		"findNamespace":                                   -9.418979,
+		"findQueryType":                                   -9.418979,
+		"fkQuoted":                                        -9.013514,
+		"flash":                                           -10.112126,
+		"flexible":                                        -10.112126,
+		"fname":                                           -9.013514,
+		"followRedirect":                                  -8.725832,
+		"followRedirects":                                 -8.502689,
+		"followed":                                        -10.112126,
+		"following":                                       -10.112126,
+		"fopen":                                           -10.112126,
+		"for":                                             -7.278913,
+		"foreach":                                         -5.937739,
+		"foreignKey":                                      -7.714231,
+		"foreignKeys":                                     -9.013514,
+		"form":                                            -7.809541,
+		"form_state":                                      -9.418979,
+		"format":                                          -8.725832,
+		"formats":                                         -10.112126,
+		"forward":                                         -9.418979,
+		"found":                                           -8.502689,
+		"from":                                            -9.013514,
+		"ftype":                                           -8.320367,
+		"full":                                            -9.013514,
+		"fully":                                           -10.112126,
+		"function":                                        -4.970463,
+		"function_exists":                                 -9.013514,
+		"functionality":                                   -10.112126,
+		"general":                                         -10.112126,
+		"generated":                                       -9.418979,
+		"get":                                             -7.547177,
+		"getAbbreviationSuggestions":                      -8.725832,
+		"getAbbreviations":                                -8.725832,
+		"getAbsoluteUri":                                  -9.418979,
+		"getAliases":                                      -9.013514,
+		"getAssociated":                                   -8.725832,
+		"getAttribute":                                    -7.809541,
+		"getCode":                                         -10.112126,
+		"getColumnType":                                   -8.725832,
+		"getColumnTypes":                                  -10.112126,
+		"getCommandName":                                  -10.112126,
+		"getContent":                                      -9.418979,
+		"getCookieJar":                                    -10.112126,
+		"getCrawler":                                      -10.112126,
+		"getDataSource":                                   -7.547177,
+		"getDefaultCommands":                              -10.112126,
+		"getDefaultHelperSet":                             -10.112126,
+		"getDefaultInputDefinition":                       -10.112126,
+		"getDefinition":                                   -9.418979,
+		"getDescription":                                  -10.112126,
+		"getErrorOutput":                                  -9.418979,
+		"getEventManager":                                 -8.032685,
+		"getFiles":                                        -9.013514,
+		"getFormNode":                                     -10.112126,
+		"getHeader":                                       -9.418979,
+		"getHelp":                                         -10.112126,
+		"getHelperSet":                                    -9.013514,
+		"getHistory":                                      -10.112126,
+		"getID":                                           -9.418979,
+		"getInputStream":                                  -10.112126,
+		"getLongVersion":                                  -9.013514,
+		"getMethod":                                       -8.320367,
+		"getName":                                         -7.627220,
+		"getNamespaces":                                   -9.013514,
+		"getOptions":                                      -10.112126,
+		"getOutput":                                       -9.013514,
+		"getParameters":                                   -10.112126,
+		"getPhpFiles":                                     -9.418979,
+		"getPhpValues":                                    -9.418979,
+		"getRawUri":                                       -10.112126,
+		"getRequest":                                      -10.112126,
+		"getResponse":                                     -10.112126,
+		"getScript":                                       -9.418979,
+		"getSegments":                                     -8.725832,
+		"getServer":                                       -10.112126,
+		"getServerParameter":                              -10.112126,
+		"getShortcut":                                     -9.418979,
+		"getSttyColumns":                                  -10.112126,
+		"getUri":                                          -8.032685,
+		"getValue":                                        -9.418979,
+		"getValues":                                       -9.013514,
+		"getVersion":                                      -9.013514,
+		"getVirtualField":                                 -10.112126,
+		"get_class_vars":                                  -9.418979,
+		"github":                                          -10.112126,
+		"global":                                          -9.418979,
+		"granted":                                         -10.112126,
+		"h":                                               -10.112126,
+		"h3":                                              -10.112126,
+		"handbook":                                        -10.112126,
+		"hands":                                           -10.112126,
+		"has":                                             -8.032685,
+		"hasAndBelongsToMany":                             -6.976632,
+		"hasAny":                                          -10.112126,
+		"hasAttribute":                                    -10.112126,
+		"hasField":                                        -8.725832,
+		"hasMany":                                         -9.418979,
+		"hasMethod":                                       -9.418979,
+		"hasOne":                                          -9.418979,
+		"hasParameterOption":                              -8.166216,
+		"hasValue":                                        -10.112126,
+		"have":                                            -9.418979,
+		"header":                                          -8.166216,
+		"help":                                            -10.112126,
+		"helpCommand":                                     -9.013514,
+		"helperSet":                                       -8.320367,
+		"helpers":                                         -10.112126,
+		"history":                                         -7.404076,
+		"hook_help":                                       -10.112126,
+		"href=":                                           -8.166216,
+		"http":                                            -7.278913,
+		"httpCodes":                                       -9.013514,
+		"http_build_query":                                -9.013514,
+		"i":                                               -7.021084,
+		"id":                                              -5.849447,
+		"id=":                                             -10.112126,
+		"idField":                                         -9.013514,
+		"ids":                                             -8.032685,
+		"if":                                              -4.214973,
+		"image":                                           -10.112126,
+		"images":                                          -10.112126,
+		"implementedEvents":                               -10.112126,
+		"implements":                                      -9.013514,
+		"implode":                                         -8.320367,
+		"importNode":                                      -9.418979,
+		"in":                                              -7.914902,
+		"in_array":                                        -6.893251,
+		"include":                                         -10.112126,
+		"included":                                        -9.013514,
+		"includes":                                        -10.112126,
+		"incorrect":                                       -10.112126,
+		"index":                                           -8.502689,
+		"inexperienced":                                   -10.112126,
+		"info":                                            -8.502689,
+		"information":                                     -8.725832,
+		"init":                                            -10.112126,
+		"initOnce":                                        -10.112126,
+		"initialize":                                      -9.418979,
+		"input":                                           -6.816290,
+		"inputStream":                                     -9.418979,
+		"insertMulti":                                     -10.112126,
+		"inside":                                          -10.112126,
+		"instance":                                        -10.112126,
+		"instanceof":                                      -8.320367,
+		"insulate":                                        -10.112126,
+		"insulated":                                       -8.166216,
+		"into":                                            -9.418979,
+		"intval":                                          -8.725832,
+		"invalidFields":                                   -10.112126,
+		"is":                                              -7.278913,
+		"isDisabled":                                      -9.418979,
+		"isEmpty":                                         -9.418979,
+		"isEnabled":                                       -10.112126,
+		"isKeySet":                                        -10.112126,
+		"isPublic":                                        -10.112126,
+		"isStopped":                                       -9.013514,
+		"isSuccessful":                                    -10.112126,
+		"isUUID":                                          -8.502689,
+		"isVirtualField":                                  -9.418979,
+		"is_array":                                        -6.744831,
+		"is_null":                                         -10.112126,
+		"is_numeric":                                      -8.725832,
+		"is_object":                                       -9.418979,
+		"is_resource":                                     -10.112126,
+		"is_string":                                       -8.166216,
+		"is_subclass_of":                                  -9.418979,
+		"isset":                                           -5.717677,
+		"it":                                              -9.418979,
+		"item":                                            -7.914902,
+		"j":                                               -9.418979,
+		"join":                                            -7.021084,
+		"joinModel":                                       -8.032685,
+		"joined":                                          -8.502689,
+		"k":                                               -8.166216,
+		"keepExisting":                                    -9.013514,
+		"key":                                             -6.160883,
+		"key.":                                            -10.112126,
+		"keyInfo":                                         -8.725832,
+		"keyPresentAndEmpty":                              -9.418979,
+		"keys":                                            -7.221755,
+		"ksort":                                           -9.418979,
+		"language":                                        -9.418979,
+		"layout":                                          -9.013514,
+		"layoutPath":                                      -10.112126,
+		"layouts":                                         -10.112126,
+		"least":                                           -10.112126,
+		"len":                                             -8.725832,
+		"lev":                                             -8.320367,
+		"levenshtein":                                     -9.418979,
+		"license":                                         -7.714231,
+		"licenses":                                        -9.418979,
+		"limit":                                           -9.013514,
+		"line":                                            -9.418979,
+		"link":                                            -7.809541,
+		"links":                                           -8.725832,
+		"list":                                            -7.021084,
+		"listing":                                         -10.112126,
+		"load":                                            -10.112126,
+		"loadModel":                                       -10.112126,
+		"logic":                                           -10.112126,
+		"long":                                            -9.418979,
+		"lowercase":                                       -10.112126,
+		"lst":                                             -8.725832,
+		"mail":                                            -7.714231,
+		"malformed":                                       -10.112126,
+		"malicious":                                       -10.112126,
+		"manipulate":                                      -10.112126,
+		"manually":                                        -10.112126,
+		"map":                                             -10.112126,
+		"mapper":                                          -9.418979,
+		"mapping":                                         -10.112126,
+		"maps":                                            -10.112126,
+		"may":                                             -10.112126,
+		"me":                                              -10.112126,
+		"merge":                                           -7.914902,
+		"mergeParent":                                     -9.418979,
+		"message":                                         -7.278913,
+		"messages":                                        -8.725832,
+		"method":                                          -6.779922,
+		"method_exists":                                   -8.725832,
+		"methods":                                         -8.725832,
+		"migrated":                                        -10.112126,
+		"mit":                                             -9.418979,
+		"modParams":                                       -10.112126,
+		"model":                                           -6.934073,
+		"modelClass":                                      -7.339538,
+		"modelName":                                       -9.013514,
+		"models":                                          -9.418979,
+		"module":                                          -8.725832,
+		"more":                                            -9.418979,
+		"most":                                            -10.112126,
+		"msgHTML":                                         -10.112126,
+		"must":                                            -9.418979,
+		"n":                                               -7.914902,
+		"name":                                            -5.229324,
+		"names":                                           -9.418979,
+		"names.":                                          -10.112126,
+		"namespace":                                       -7.221755,
+		"namespace.substr":                                -10.112126,
+		"namespacedCommands":                              -8.502689,
+		"namespaces":                                      -8.725832,
+		"net":                                             -10.112126,
+		"new":                                             -6.200103,
+		"newData":                                         -8.502689,
+		"newJoins":                                        -8.166216,
+		"newValues":                                       -8.032685,
+		"node":                                            -6.474540,
+		"nodeName":                                        -7.547177,
+		"not":                                             -8.725832,
+		"notEmpty":                                        -8.725832,
+		"notice":                                          -9.418979,
+		"null":                                            -5.367194,
+		"number":                                          -10.112126,
+		"numeric":                                         -10.112126,
+		"ob_end_clean":                                    -10.112126,
+		"ob_get_contents":                                 -10.112126,
+		"ob_start":                                        -10.112126,
+		"object":                                          -8.166216,
+		"objects":                                         -9.418979,
+		"of":                                              -7.021084,
+		"offsetExists":                                    -10.112126,
+		"offsetGet":                                       -10.112126,
+		"offsetSet":                                       -10.112126,
+		"offsetUnset":                                     -10.112126,
+		"old":                                             -9.418979,
+		"oldJoin":                                         -8.725832,
+		"oldLinks":                                        -8.725832,
+		"old_theme_path":                                  -9.418979,
+		"on":                                              -8.502689,
+		"one":                                             -7.404076,
+		"online":                                          -10.112126,
+		"op":                                              -7.914902,
+		"opensource":                                      -9.418979,
+		"option":                                          -8.502689,
+		"options":                                         -5.669475,
+		"or":                                              -7.914902,
+		"order":                                           -9.013514,
+		"org":                                             -7.809541,
+		"organization":                                    -10.112126,
+		"other":                                           -10.112126,
+		"output":                                          -6.220306,
+		"overwrite":                                       -10.112126,
+		"p":                                               -9.013514,
+		"package":                                         -8.502689,
+		"pages":                                           -10.112126,
+		"paginate":                                        -9.013514,
+		"panel_args":                                      -10.112126,
+		"parameters":                                      -8.725832,
+		"params":                                          -7.278913,
+		"parent":                                          -7.809541,
+		"parentNode":                                      -10.112126,
+		"parse_str":                                       -9.418979,
+		"parse_url":                                       -9.013514,
+		"part":                                            -7.404076,
+		"parts":                                           -8.725832,
+		"passedArgs":                                      -9.418979,
+		"path":                                            -7.067604,
+		"path.":                                           -10.112126,
+		"pause":                                           -9.418979,
+		"performing":                                      -9.418979,
+		"permission":                                      -10.112126,
+		"permissions":                                     -10.112126,
+		"photo":                                           -10.112126,
+		"php":                                             -7.339538,
+		"php_eval":                                        -10.112126,
+		"php_filter_info":                                 -10.112126,
+		"php_help":                                        -10.112126,
+		"php_wrappers":                                    -10.112126,
+		"pipes":                                           -8.725832,
+		"plain":                                           -9.418979,
+		"please":                                          -9.013514,
+		"plugin":                                          -7.547177,
+		"pluginController":                                -7.914902,
+		"pluginDot":                                       -8.725832,
+		"pluginName":                                      -10.112126,
+		"pluginSplit":                                     -8.502689,
+		"pluginVars":                                      -9.013514,
+		"pluralized":                                      -10.112126,
+		"pos":                                             -9.013514,
+		"posix_isatty":                                    -10.112126,
+		"possibly":                                        -10.112126,
+		"postConditions":                                  -10.112126,
+		"powerful":                                        -10.112126,
+		"prefix":                                          -9.418979,
+		"prefixed":                                        -10.112126,
+		"prefixes":                                        -8.725832,
+		"preg_match":                                      -9.418979,
+		"preg_replace":                                    -9.418979,
+		"primary":                                         -10.112126,
+		"primaryAdded":                                    -9.013514,
+		"primaryKey":                                      -6.678139,
+		"print":                                           -10.112126,
+		"printed":                                         -9.418979,
+		"private":                                         -6.976632,
+		"privateAction":                                   -8.725832,
+		"proc_close":                                      -10.112126,
+		"proc_open":                                       -10.112126,
+		"process":                                         -7.809541,
+		"processed.":                                      -10.112126,
+		"proper":                                          -10.112126,
+		"properties":                                      -8.725832,
+		"protected":                                       -6.423247,
+		"proto":                                           -10.112126,
+		"protobuf":                                        -10.112126,
+		"protocol":                                        -10.112126,
+		"provide":                                         -10.112126,
+		"public":                                          -5.101491,
+		"purpose":                                         -10.112126,
+		"qs":                                              -8.725832,
+		"query":                                           -5.730100,
+		"queryString":                                     -9.418979,
+		"r":                                               -10.112126,
+		"re":                                              -10.112126,
+		"read":                                            -9.013514,
+		"readFieldBegin":                                  -10.112126,
+		"readFieldEnd":                                    -10.112126,
+		"readString":                                      -10.112126,
+		"readStructBegin":                                 -10.112126,
+		"readStructEnd":                                   -10.112126,
+		"record":                                          -7.809541,
+		"recordData":                                      -9.418979,
+		"records":                                         -8.320367,
+		"recursive":                                       -8.032685,
+		"redirect":                                        -8.320367,
+		"redirection":                                     -9.418979,
+		"referenced":                                      -10.112126,
+		"register":                                        -10.112126,
+		"registry":                                        -8.725832,
+		"regular":                                         -10.112126,
+		"relation":                                        -8.166216,
+		"relational":                                      -9.418979,
+		"reload":                                          -10.112126,
+		"remove":                                          -8.725832,
+		"render":                                          -10.112126,
+		"renderException":                                 -9.418979,
+		"rendering":                                       -10.112126,
+		"reply":                                           -10.112126,
+		"request":                                         -6.123142,
+		"request.":                                        -10.112126,
+		"requestFromRequest":                              -8.725832,
+		"require":                                         -8.502689,
+		"required":                                        -9.418979,
+		"resetAssociations":                               -10.112126,
+		"resource":                                        -10.112126,
+		"resources":                                       -10.112126,
+		"resp":                                            -8.320367,
+		"response":                                        -6.854030,
+		"response.":                                       -9.418979,
+		"responsible":                                     -10.112126,
+		"restart":                                         -10.112126,
+		"restrict":                                        -10.112126,
+		"result":                                          -7.116394,
+		"results":                                         -7.404076,
+		"retain":                                          -9.418979,
+		"return":                                          -4.639856,
+		"returned":                                        -9.418979,
+		"reverse":                                         -10.112126,
+		"risk":                                            -10.112126,
+		"rollback":                                        -9.418979,
+		"root":                                            -8.725832,
+		"routing.":                                        -10.112126,
+		"row":                                             -7.278913,
+		"run":                                             -8.725832,
+		"runningCommand":                                  -9.013514,
+		"s":                                               -9.418979,
+		"save":                                            -7.914902,
+		"saveAll":                                         -10.112126,
+		"saveAssociated":                                  -8.502689,
+		"saveField":                                       -10.112126,
+		"saveMany":                                        -9.013514,
+		"saved":                                           -7.221755,
+		"savedAssociatons":                                -9.013514,
+		"scaffold":                                        -10.112126,
+		"scaffoldError":                                   -9.418979,
+		"schema":                                          -7.809541,
+		"scope":                                           -9.418979,
+		"scripting":                                       -10.112126,
+		"searchName":                                      -7.547177,
+		"security":                                        -10.112126,
+		"see":                                             -10.112126,
+		"segment":                                         -10.112126,
+		"segments":                                        -7.714231,
+		"selects":                                         -10.112126,
+		"self":                                            -9.013514,
+		"send":                                            -9.418979,
+		"sending":                                         -10.112126,
+		"sent":                                            -9.418979,
+		"sep":                                             -10.112126,
+		"sep.":                                            -10.112126,
+		"server":                                          -7.116394,
+		"serves":                                          -10.112126,
+		"session_write_close":                             -10.112126,
+		"set":                                             -6.934073,
+		"setApplication":                                  -9.418979,
+		"setAutoExit":                                     -10.112126,
+		"setCatchExceptions":                              -10.112126,
+		"setCommand":                                      -10.112126,
+		"setDecorated":                                    -9.418979,
+		"setFinder":                                       -9.418979,
+		"setFrom":                                         -10.112126,
+		"setHelperSet":                                    -10.112126,
+		"setInteractive":                                  -9.418979,
+		"setName":                                         -9.418979,
+		"setNode":                                         -10.112126,
+		"setRequest":                                      -10.112126,
+		"setRiskyAllowed":                                 -9.418979,
+		"setRules":                                        -9.418979,
+		"setServerParameter":                              -10.112126,
+		"setServerParameters":                             -9.418979,
+		"setValue":                                        -10.112126,
+		"setValues":                                       -9.418979,
+		"setVerbosity":                                    -9.418979,
+		"setVersion":                                      -10.112126,
+		"settings":                                        -9.418979,
+		"shared":                                          -10.112126,
+		"should":                                          -9.013514,
+		"shows":                                           -10.112126,
+		"shutdownProcess":                                 -10.112126,
+		"significant":                                     -10.112126,
+		"since":                                           -9.418979,
+		"single":                                          -10.112126,
+		"site":                                            -9.013514,
+		"ski":                                             -9.418979,
+		"skip":                                            -9.418979,
+		"snippets":                                        -9.013514,
+		"sortCommands":                                    -10.112126,
+		"source":                                          -8.032685,
+		"specific":                                        -10.112126,
+		"sprintf":                                         -7.221755,
+		"stand":                                           -10.112126,
+		"startQuote":                                      -8.725832,
+		"state":                                           -7.914902,
+		"static":                                          -8.166216,
+		"status":                                          -7.404076,
+		"statusCode":                                      -7.473069,
+		"stdClass":                                        -10.112126,
+		"stdin":                                           -10.112126,
+		"str_replace":                                     -9.418979,
+		"stream_get_contents":                             -10.112126,
+		"string":                                          -9.013514,
+		"strlen":                                          -8.502689,
+		"strpos":                                          -7.627220,
+		"strrpos":                                         -9.418979,
+		"strtotime":                                       -10.112126,
+		"strtoupper":                                      -9.013514,
+		"subject":                                         -9.013514,
+		"submit":                                          -9.418979,
+		"substr":                                          -8.502689,
+		"substr_count":                                    -10.112126,
+		"subtype":                                         -10.112126,
+		"success":                                         -7.809541,
+		"such":                                            -9.418979,
+		"suggestions":                                     -9.418979,
+		"surrounded":                                      -10.112126,
+		"switch":                                          -9.013514,
+		"symfony":                                         -8.502689,
+		"sys_get_temp_dir":                                -9.418979,
+		"system":                                          -10.112126,
+		"t":                                               -6.854030,
+		"table":                                           -8.502689,
+		"tables":                                          -10.112126,
+		"tags":                                            -10.112126,
+		"takes":                                           -10.112126,
+		"target":                                          -7.116394,
+		"test":                                            -10.112126,
+		"text":                                            -8.166216,
+		"text.":                                           -10.112126,
+		"that":                                            -7.714231,
+		"the":                                             -6.200103,
+		"theme_info":                                      -9.013514,
+		"theme_path":                                      -8.502689,
+		"this":                                            -3.582708,
+		"through":                                         -10.112126,
+		"throw":                                           -7.404076,
+		"time":                                            -9.013514,
+		"timeFields":                                      -9.418979,
+		"title":                                           -8.032685,
+		"title_link":                                      -10.112126,
+		"tm":                                              -8.320367,
+		"to":                                              -7.116394,
+		"toArray":                                         -10.112126,
+		"tokenize":                                        -10.112126,
+		"transactionBegun":                                -8.725832,
+		"trigger_error":                                   -10.112126,
+		"trim":                                            -10.112126,
+		"true":                                            -5.244592,
+		"trusted":                                         -9.013514,
+		"try":                                             -9.418979,
+		"two":                                             -9.418979,
+		"type":                                            -6.615619,
+		"ucfirst":                                         -9.418979,
+		"under":                                           -9.418979,
+		"underscore":                                      -10.112126,
+		"unlike":                                          -10.112126,
+		"unserialize":                                     -10.112126,
+		"unset":                                           -7.914902,
+		"update":                                          -9.418979,
+		"updateAll":                                       -9.013514,
+		"updateCol":                                       -8.320367,
+		"updateCounterCache":                              -8.320367,
+		"updateFromResponse":                              -10.112126,
+		"uri":                                             -6.976632,
+		"url":                                             -7.404076,
+		"urls":                                            -10.112126,
+		"use":                                             -6.501209,
+		"use.":                                            -10.112126,
+		"useDbConfig":                                     -9.418979,
+		"useNewDate":                                      -9.418979,
+		"useTable":                                        -8.725832,
+		"used":                                            -9.013514,
+		"user":                                            -9.418979,
+		"user.":                                           -10.112126,
+		"users":                                           -9.418979,
+		"uses":                                            -6.474540,
+		"using":                                           -9.013514,
+		"usually":                                         -10.112126,
+		"uuid":                                            -9.013514,
+		"v":                                               -7.278913,
+		"val":                                             -6.816290,
+		"validate":                                        -8.166216,
+		"validateAssociated":                              -8.502689,
+		"validateMany":                                    -8.725832,
+		"validates":                                       -6.017782,
+		"validationErrors":                                -6.305464,
+		"vals":                                            -8.725832,
+		"value":                                           -6.816290,
+		"values":                                          -6.141835,
+		"var":                                             -8.502689,
+		"variables":                                       -10.112126,
+		"vendor":                                          -9.418979,
+		"version":                                         -8.032685,
+		"versions":                                        -10.112126,
+		"view":                                            -8.502689,
+		"viewClass":                                       -10.112126,
+		"viewPath":                                        -10.112126,
+		"viewVars":                                        -10.112126,
+		"views":                                           -10.112126,
+		"virtualFields":                                   -8.320367,
+		"visiting.":                                       -9.013514,
+		"visitor":                                         -9.418979,
+		"walk":                                            -9.013514,
+		"wantHelps":                                       -8.725832,
+		"was":                                             -9.013514,
+		"we":                                              -9.418979,
+		"web":                                             -9.418979,
+		"were":                                            -9.418979,
+		"when":                                            -9.418979,
+		"which":                                           -9.013514,
+		"while":                                           -8.502689,
+		"whitelist":                                       -7.547177,
+		"who":                                             -9.418979,
+		"widely":                                          -10.112126,
+		"will":                                            -9.418979,
+		"with":                                            -7.404076,
+		"withModel":                                       -8.725832,
+		"words":                                           -10.112126,
+		"would":                                           -10.112126,
+		"wrapper":                                         -10.112126,
+		"write":                                           -10.112126,
+		"writeFieldBegin":                                 -10.112126,
+		"writeFieldEnd":                                   -10.112126,
+		"writeFieldStop":                                  -10.112126,
+		"writeString":                                     -10.112126,
+		"writeStructBegin":                                -10.112126,
+		"writeStructEnd":                                  -10.112126,
+		"writeln":                                         -10.112126,
+		"www":                                             -8.725832,
+		"x":                                               -8.725832,
+		"xfer":                                            -7.278913,
+		"xml":                                             -9.418979,
+		"xpath":                                           -9.418979,
+		"y":                                               -9.418979,
+		"yii":                                             -9.418979,
+		"yii2":                                            -10.112126,
+		"yiiframework":                                    -9.418979,
+		"yiisoft":                                         -10.112126,
+		"you":                                             -8.725832,
+		"your":                                            -9.418979,
+		"{":                                               -3.470944,
+		"|":                                               -10.112126,
+		"||":                                              -6.180301,
+		"}":                                               -3.469640,
+		"ń":                                               -9.418979,
 	},
 	"PLSQL": map[string]float64{
 		"!":                              -7.141245,
@@ -108592,588 +114058,642 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"say":             -2.302585,
 	},
 	"Pascal": map[string]float64{
-		"!":  -8.644707,
-		"#":  -7.951559,
-		"$":  -4.752886,
-		"'":  -8.644707,
-		"(":  -3.135318,
-		")":  -3.131278,
-		"*":  -6.159800,
-		"**": -7.546094,
-		"*****************************************************************************": -8.644707,
-		"*.res":               -8.644707,
-		"+":                   -5.033789,
-		",":                   -3.607754,
-		"-":                   -4.752886,
-		".Category":           -8.644707,
-		".PushBack":           -8.644707,
-		".VCurrency":          -8.644707,
-		".VExtended":          -8.644707,
-		".VInt":               -8.644707,
-		".VInteger":           -8.644707,
-		".VType":              -8.644707,
-		"/":                   -7.546094,
-		"//Writeln":           -8.644707,
-		"/usr/bin/instantfpc": -8.644707,
-		"0":                   -5.061188,
-		"1":                   -5.553664,
-		":":                   -2.618841,
-		";":                   -2.234532,
-		"<":                   -6.342121,
-		"<(a:>":               -7.258412,
-		"<String,TConfigValues,TStrHashCaseInsensitive>": -8.644707,
-		"<String>":                         -8.644707,
-		"=":                                -2.999260,
-		">":                                -6.005649,
-		"?":                                -7.951559,
-		"@APATH":                           -8.644707,
-		"@APath":                           -8.644707,
-		"@test":                            -8.644707,
-		"A":                                -7.951559,
-		"ACaption":                         -7.546094,
-		"ADescr":                           -7.546094,
-		"ADescr.LazPackage":                -8.644707,
-		"ADescription":                     -7.546094,
-		"AFormClass":                       -6.159800,
-		"AFormClass.ClassName":             -8.644707,
-		"ANY":                              -8.644707,
-		"APackage":                         -6.159800,
-		"AUnit":                            -7.546094,
-		"AUnitName":                        -7.546094,
-		"Ahwnd":                            -8.644707,
-		"Application.CreateForm":           -8.644707,
-		"Application.Initialize":           -8.644707,
-		"Application.MainFormOnTaskbar":    -8.644707,
-		"Application.Run":                  -8.644707,
-		"Author":                           -8.644707,
-		"B":                                -7.258412,
-		"BIOS":                             -8.644707,
-		"BoolToStr":                        -7.951559,
-		"Boolean":                          -7.035269,
-		"Break":                            -8.644707,
-		"BullCow":                          -8.644707,
-		"C":                                -8.644707,
-		"CFGDLLHandle":                     -7.546094,
-		"CFGDllHandle":                     -8.644707,
-		"COPYING.FPC":                      -8.644707,
-		"CSIDL_ADMINTOOLS":                 -8.644707,
-		"CSIDL_APPDATA":                    -8.644707,
-		"CSIDL_CDBURN_AREA":                -8.644707,
-		"CSIDL_COMMON_ADMINTOOLS":          -8.644707,
-		"CSIDL_COMMON_APPDATA":             -8.644707,
-		"CSIDL_COMMON_DESKTOPDIRECTORY":    -8.644707,
-		"CSIDL_COMMON_DOCUMENTS":           -8.644707,
-		"CSIDL_COMMON_FAVORITES":           -8.644707,
-		"CSIDL_COMMON_MUSIC":               -8.644707,
-		"CSIDL_COMMON_PICTURES":            -8.644707,
-		"CSIDL_COMMON_PROGRAMS":            -8.644707,
-		"CSIDL_COMMON_STARTMENU":           -8.644707,
-		"CSIDL_COMMON_STARTUP":             -8.644707,
-		"CSIDL_COMMON_TEMPLATES":           -8.644707,
-		"CSIDL_COMMON_VIDEO":               -8.644707,
-		"CSIDL_COOKIES":                    -8.644707,
-		"CSIDL_DESKTOPDIRECTORY":           -8.644707,
-		"CSIDL_FAVORITES":                  -8.644707,
-		"CSIDL_FLAG_CREATE":                -7.951559,
-		"CSIDL_HISTORY":                    -8.644707,
-		"CSIDL_INTERNET_CACHE":             -8.644707,
-		"CSIDL_LOCAL_APPDATA":              -8.644707,
-		"CSIDL_MYMUSIC":                    -8.644707,
-		"CSIDL_MYPICTURES":                 -8.644707,
-		"CSIDL_MYVIDEO":                    -8.644707,
-		"CSIDL_NETHOOD":                    -8.644707,
-		"CSIDL_PERSONAL":                   -8.644707,
-		"CSIDL_PRINTHOOD":                  -8.644707,
-		"CSIDL_PROFILE":                    -8.644707,
-		"CSIDL_PROFILES":                   -8.644707,
-		"CSIDL_PROGRAMS":                   -8.644707,
-		"CSIDL_PROGRAM_FILES":              -8.644707,
-		"CSIDL_PROGRAM_FILES_COMMON":       -8.644707,
-		"CSIDL_RECENT":                     -8.644707,
-		"CSIDL_SENDTO":                     -8.644707,
-		"CSIDL_STARTMENU":                  -8.644707,
-		"CSIDL_STARTUP":                    -8.644707,
-		"CSIDL_SYSTEM":                     -8.644707,
-		"CSIDL_TEMPLATES":                  -8.644707,
-		"CSIDL_WINDOWS":                    -8.644707,
-		"Caption":                          -8.644707,
-		"Category":                         -8.644707,
-		"Char":                             -8.644707,
-		"Class":                            -7.951559,
-		"Classes":                          -7.951559,
-		"Component":                        -8.644707,
-		"ConfigLine":                       -6.342121,
-		"ConfigName":                       -6.852947,
-		"ConfigStorage":                    -6.565265,
-		"ConfigStorage.Contains":           -7.546094,
-		"ConfigStorage.Free":               -8.644707,
-		"ConfigStrings":                    -7.546094,
-		"ConfigStrings.Free":               -8.644707,
-		"ConfigStrings.LoadFromFile":       -8.644707,
-		"ConfigValue":                      -7.546094,
-		"ConfigValues":                     -7.546094,
-		"ConfigValues.DelimitedText":       -8.644707,
-		"ConfigValues.Delimiter":           -8.644707,
-		"ConfigValues.Free":                -8.644707,
-		"ConfigValues.StrictDelimiter":     -8.644707,
-		"Const":                            -7.035269,
-		"Constructor":                      -7.546094,
-		"Convert":                          -8.644707,
-		"Copy":                             -7.951559,
-		"Copyright":                        -7.951559,
-		"Could":                            -8.644707,
-		"Count":                            -7.951559,
-		"Create":                           -7.035269,
-		"Csidl":                            -8.644707,
-		"CustomFormList":                   -7.035269,
-		"CustomFormList.Add":               -8.644707,
-		"CustomFormList.Count":             -7.951559,
-		"D":                                -6.565265,
-		"D.Category":                       -8.644707,
-		"D.UnitName":                       -8.644707,
-		"DS":                               -8.644707,
-		"DWord":                            -8.644707,
-		"Delete":                           -8.644707,
-		"Descr":                            -7.546094,
-		"Description":                      -8.644707,
-		"Destroy":                          -7.951559,
-		"Does":                             -7.951559,
-		"Don":                              -8.644707,
-		"DoneCustomForms":                  -7.951559,
-		"Double":                           -5.509212,
-		"E":                                -7.546094,
-		"Exit":                             -6.342121,
-		"FAuthor":                          -7.546094,
-		"FCL":                              -8.644707,
-		"FCaption":                         -7.258412,
-		"FCategory":                        -7.258412,
-		"FDescription":                     -7.258412,
-		"FFormClass":                       -7.258412,
-		"FFormDescr":                       -7.546094,
-		"FFormDescr.Author":                -7.951559,
-		"FFormDescr.Caption":               -7.951559,
-		"FFormDescr.Description":           -8.644707,
-		"FFormDescr.FFormClass":            -8.644707,
-		"FFormDescr.UnitName":              -8.644707,
-		"FITNESS":                          -8.644707,
-		"FLazPackage":                      -7.258412,
-		"FOR":                              -8.644707,
-		"FPC":                              -8.644707,
-		"FUnitName":                        -7.258412,
-		"False":                            -7.951559,
-		"Finalization":                     -7.951559,
-		"Finally":                          -8.644707,
-		"Flags":                            -8.644707,
-		"For":                              -7.546094,
-		"Form":                             -7.951559,
-		"FormClass":                        -8.644707,
-		"FormDescr":                        -8.644707,
-		"Format":                           -7.951559,
-		"Forms":                            -7.951559,
-		"Francesco":                        -8.644707,
-		"Free":                             -7.951559,
-		"FreeAndNil":                       -8.644707,
-		"FreeLibrary":                      -8.644707,
-		"Function":                         -6.159800,
-		"Get":                              -8.644707,
-		"GetGuess":                         -7.258412,
-		"GetInterfaceUsesSection":          -7.951559,
-		"GetLocalizedDescription":          -8.644707,
-		"GetLocalizedName":                 -8.644707,
-		"GetPage":                          -8.644707,
-		"GetUnixMangaImageURL":             -8.644707,
-		"GetVal":                           -8.644707,
-		"GetWindowsSpecialDir":             -8.644707,
-		"Guess":                            -6.447482,
-		"GuessScore":                       -7.951559,
-		"H":                                -7.951559,
-		"HRESULT":                          -8.644707,
-		"HWND":                             -8.644707,
-		"I":                                -7.258412,
-		"ID":                               -7.951559,
-		"If":                               -7.035269,
-		"Inc":                              -7.951559,
-		"IncludeTrailingPathDelimiter":     -8.644707,
-		"Infinity":                         -7.546094,
-		"Inherited":                        -8.644707,
-		"InitCustomForms":                  -7.951559,
-		"InitDLL":                          -7.951559,
-		"Initialization":                   -7.951559,
-		"Initialize":                       -8.644707,
-		"IntToStr":                         -7.546094,
-		"Integer":                          -4.637373,
-		"IsNan":                            -7.951559,
-		"It":                               -7.951559,
-		"It.Free":                          -8.644707,
-		"It.Next":                          -8.644707,
-		"It.Value.Free":                    -8.644707,
-		"Iterator":                         -8.644707,
-		"L":                                -7.546094,
-		"L.Add":                            -8.644707,
-		"L.Count":                          -8.644707,
-		"L.Duplicates":                     -8.644707,
-		"L.Free":                           -8.644707,
-		"L.Sorted":                         -8.644707,
-		"LazPackage":                       -8.644707,
-		"Length":                           -4.340641,
-		"Library":                          -8.644707,
-		"LineEnding":                       -8.644707,
-		"Load":                             -8.644707,
-		"Lombardi":                         -8.644707,
-		"MAX_PATH":                         -8.644707,
-		"MERCHANTABILITY":                  -8.644707,
-		"Make":                             -7.951559,
-		"MakeNumber":                       -7.546094,
-		"Mantis":                           -8.644707,
-		"Math":                             -8.644707,
-		"Must":                             -8.644707,
-		"N":                                -7.035269,
-		"NaN":                              -7.546094,
-		"Name":                             -8.644707,
-		"NegInfinity":                      -7.258412,
-		"NewItemIntf":                      -8.644707,
-		"Nil":                              -7.951559,
-		"Nintendo":                         -8.644707,
-		"Num":                              -6.447482,
-		"OnTag":                            -8.644707,
-		"OnText":                           -8.644707,
-		"Ord":                              -8.644707,
-		"Otherwise":                        -8.644707,
-		"PARTICULAR":                       -8.644707,
-		"PChar":                            -7.951559,
-		"PFNSHGetFolderPath":               -7.951559,
-		"PURPOSE.":                         -8.644707,
-		"Parser":                           -8.644707,
-		"Parser.Exec":                      -8.644707,
-		"Parser.Free":                      -8.644707,
-		"Parser.OnFoundTag":                -8.644707,
-		"Parser.OnFoundText":               -8.644707,
-		"Pascal":                           -8.644707,
-		"Path":                             -8.644707,
-		"Pos":                              -7.258412,
-		"Procedure":                        -6.159800,
-		"Program":                          -8.644707,
-		"ProjectIntf":                      -8.644707,
-		"Property":                         -6.565265,
-		"Public":                           -8.644707,
-		"R":                                -8.644707,
-		"RandomRange":                      -8.644707,
-		"Randomize":                        -8.644707,
-		"Read":                             -6.565265,
-		"ReadLn":                           -8.644707,
-		"Register":                         -7.951559,
-		"RegisterCustomForm":               -6.565265,
-		"RegisterNewItemCategory":          -8.644707,
-		"RegisterProjectFileDescriptor":    -8.644707,
-		"Represent":                        -8.644707,
-		"RequiredPackages":                 -7.951559,
-		"ResourceClass":                    -8.644707,
-		"Result":                           -4.955827,
-		"SAppFrameWork":                    -7.951559,
-		"SHEBANG#!instantfpc":              -8.644707,
-		"SHGetFolderPath":                  -7.258412,
-		"SHGetFolderPathA":                 -8.644707,
-		"SInstanceOf":                      -7.951559,
-		"S_ISBLK":                          -8.644707,
-		"S_ISCHR":                          -8.644707,
-		"S_ISDIR":                          -8.644707,
-		"S_ISFIFO":                         -8.644707,
-		"S_ISLNK":                          -8.644707,
-		"S_ISREG":                          -8.644707,
-		"S_ISSOCK":                         -8.644707,
-		"S_OK":                             -8.644707,
-		"See":                              -8.644707,
-		"Self.Terminated":                  -8.644707,
-		"SeparatorPos":                     -6.565265,
-		"SetLength":                        -4.907037,
-		"Size":                             -8.644707,
-		"StrPas":                           -8.644707,
-		"StrToFourDigit":                   -7.546094,
-		"StrToInt":                         -8.644707,
-		"String":                           -5.312502,
-		"SysUtils":                         -7.546094,
-		"TConfigStorage":                   -7.951559,
-		"TConfigStorage.Create":            -8.644707,
-		"TConfigStorage.Destroy":           -8.644707,
-		"TConfigValues":                    -8.644707,
-		"TConfigValues.Create":             -8.644707,
-		"TCustomFormDescr":                 -6.079757,
-		"TCustomFormDescr.Create":          -7.258412,
-		"TCustomFormFileDescriptor":        -7.546094,
-		"TCustomFormFileDescriptor.Create": -7.951559,
-		"TCustomFormFileDescriptor.GetInterfaceUsesSection": -8.644707,
-		"TCustomFormFileDescriptor.GetLocalizedDescription": -8.644707,
-		"TCustomFormFileDescriptor.GetLocalizedName":        -8.644707,
-		"TFileDescPascalUnitWithResource":                   -8.644707,
-		"TForm":                                             -8.644707,
-		"TFormClass":                                        -6.342121,
-		"TFourDigit":                                        -6.079757,
-		"TFourDigit.":                                       -8.644707,
-		"THTMLParser.Create":                                -8.644707,
-		"THandle":                                           -7.951559,
-		"THashMap":                                          -8.644707,
-		"TIterator":                                         -8.644707,
-		"TMatrix":                                           -4.410600,
-		"TNewIDEItemCategory.Create":                        -8.644707,
-		"TObject":                                           -8.644707,
-		"TObjectList":                                       -8.644707,
-		"TObjectList.Create":                                -8.644707,
-		"TStrHashCaseInsensitive":                           -8.644707,
-		"TStrHashCaseInsensitive.hash":                      -8.644707,
-		"TStringList":                                       -7.951559,
-		"TStringList.Create":                                -7.035269,
-		"TStrings":                                          -8.644707,
-		"TVector":                                           -4.619355,
-		"Tentative":                                         -7.546094,
-		"This":                                              -7.951559,
-		"Token":                                             -8.644707,
-		"Trim":                                              -7.546094,
-		"True":                                              -7.035269,
-		"Try":                                               -8.644707,
-		"Turns":                                             -7.035269,
-		"Type":                                              -7.546094,
-		"U":                                                 -7.035269,
-		"Unit":                                              -8.644707,
-		"UnitName":                                          -8.644707,
-		"UpCase":                                            -7.546094,
-		"Upcase":                                            -8.644707,
-		"Var":                                               -7.035269,
-		"WARRANTY":                                          -8.644707,
-		"WITHOUT":                                           -8.644707,
-		"WellFormed":                                        -7.546094,
-		"While":                                             -8.644707,
-		"Wins":                                              -7.951559,
-		"Write":                                             -6.342121,
-		"WriteFourDigit":                                    -7.951559,
-		"WriteLn":                                           -6.079757,
-		"Writeln":                                           -8.644707,
-		"[":                                                 -3.962575,
-		"\\":                                                -8.644707,
-		"]":                                                 -3.962575,
-		"^":                                                 -7.546094,
-		"_IFBLK":                                            -8.644707,
-		"_IFCHR":                                            -8.644707,
-		"_IFDIR":                                            -8.644707,
-		"_IFIFO":                                            -8.644707,
-		"_IFLNK":                                            -8.644707,
-		"_IFMT":                                             -6.698796,
-		"_IFREG":                                            -8.644707,
-		"_IFSOCK":                                           -8.644707,
-		"__errno":                                           -8.644707,
-		"a":                                                 -3.614269,
-		"about":                                             -8.644707,
-		"an":                                                -4.816065,
-		"and":                                               -6.079757,
-		"are":                                               -8.644707,
-		"array":                                             -7.258412,
-		"as":                                                -7.546094,
-		"avoid":                                             -8.644707,
-		"b":                                                 -3.832522,
-		"be":                                                -7.546094,
-		"begin":                                             -3.724726,
-		"bn":                                                -5.425831,
-		"boolean":                                           -6.698796,
-		"break":                                             -8.644707,
-		"bull.":                                             -8.644707,
-		"bulls":                                             -7.035269,
-		"bulls.":                                            -8.644707,
-		"but":                                               -8.644707,
-		"by":                                                -7.951559,
-		"byrow":                                             -7.258412,
-		"c":                                                 -5.872118,
-		"case":                                              -7.258412,
-		"cdecl":                                             -8.644707,
-		"char":                                              -8.644707,
-		"check":                                             -7.546094,
-		"class":                                             -7.258412,
-		"const":                                             -6.698796,
-		"constructor":                                       -7.546094,
-		"contnrs":                                           -8.644707,
-		"copyright.":                                        -8.644707,
-		"cows":                                              -6.852947,
-		"current":                                           -7.035269,
-		"custforms":                                         -8.644707,
-		"cwindirs":                                          -8.644707,
-		"data":                                              -5.872118,
-		"defined":                                           -8.644707,
-		"destructor":                                        -7.951559,
-		"details":                                           -8.644707,
-		"determine":                                         -8.644707,
-		"development":                                       -8.644707,
-		"digits":                                            -8.644707,
-		"digits.":                                           -8.644707,
-		"distributed":                                       -8.644707,
-		"distribution":                                      -8.644707,
-		"ditch.":                                            -8.644707,
-		"div":                                               -7.951559,
-		"do":                                                -4.501572,
-		"dupIgnore":                                         -8.644707,
-		"each":                                              -8.644707,
-		"else":                                              -6.246811,
-		"end":                                               -3.695947,
-		"end.":                                              -6.698796,
-		"endif":                                             -8.644707,
-		"error":                                             -8.644707,
-		"even":                                              -8.644707,
-		"export":                                            -8.644707,
-		"fd":                                                -7.951559,
-		"file":                                              -7.951559,
-		"following.":                                        -8.644707,
-		"for":                                               -4.550362,
-		"formed":                                            -8.644707,
-		"formed.":                                           -8.644707,
-		"fpc":                                               -8.644707,
-		"fpc_fullversion":                                   -8.644707,
-		"full":                                              -8.644707,
-		"function":                                          -5.600184,
-		"functions":                                         -8.644707,
-		"get":                                               -8.644707,
-		"gets":                                              -8.644707,
-		"ghashmap":                                          -8.644707,
-		"global":                                            -7.546094,
-		"gmail":                                             -8.644707,
-		"greater":                                           -8.644707,
-		"guess":                                             -7.546094,
-		"guess.":                                            -7.951559,
-		"gvector":                                           -8.644707,
-		"hash":                                              -8.644707,
-		"hope":                                              -8.644707,
-		"i":                                                 -3.283414,
-		"if":                                                -5.033789,
-		"implementation":                                    -7.951559,
-		"implied":                                           -8.644707,
-		"in":                                                -6.698796,
-		"included":                                          -8.644707,
-		"indices":                                           -8.644707,
-		"inherited":                                         -7.951559,
-		"inline":                                            -6.565265,
-		"input":                                             -7.035269,
-		"integer":                                           -6.447482,
-		"interface":                                         -7.951559,
-		"is":                                                -7.546094,
-		"it":                                                -7.546094,
-		"it.":                                               -8.644707,
-		"j":                                                 -5.386610,
-		"keeping":                                           -8.644707,
-		"l":                                                 -7.546094,
-		"l.Free":                                            -7.951559,
-		"l.Text":                                            -8.644707,
-		"large":                                             -8.644707,
-		"len":                                               -6.852947,
-		"line":                                              -8.644707,
-		"longint":                                           -6.565265,
-		"m":                                                 -5.312502,
-		"manager.container.Manager.retryConnect":            -8.644707,
-		"manager.container.PageContainerLinks":              -8.644707,
-		"manager.container.PageLinks":                       -8.644707,
-		"math":                                              -8.644707,
-		"math.max":                                          -7.035269,
-		"matrix":                                            -5.936656,
-		"max":                                               -7.258412,
-		"mod":                                               -5.754335,
-		"mode":                                              -7.546094,
-		"n":                                                 -3.816393,
-		"ncol":                                              -6.159800,
-		"new":                                               -8.644707,
-		"nil":                                               -6.852947,
-		"no":                                                -8.644707,
-		"not":                                               -6.852947,
-		"nrow":                                              -6.246811,
-		"number.":                                           -8.644707,
-		"objFPC":                                            -8.644707,
-		"objfpc":                                            -7.951559,
-		"of":                                                -6.246811,
-		"operator":                                          -5.033789,
-		"operator*":                                         -7.546094,
-		"operator**":                                        -7.258412,
-		"operator/":                                         -7.546094,
-		"or":                                                -7.035269,
-		"order":                                             -8.644707,
-		"out":                                               -8.644707,
-		"override":                                          -7.258412,
-		"p":                                                 -7.258412,
-		"parse":                                             -7.258412,
-		"parse.Count":                                       -7.951559,
-		"parse.Free":                                        -7.951559,
-		"part":                                              -8.644707,
-		"path":                                              -8.644707,
-		"pathBuf":                                           -8.644707,
-		"pathLength":                                        -8.644707,
-		"plongint":                                          -8.644707,
-		"power":                                             -8.644707,
-		"prevent":                                           -8.644707,
-		"private":                                           -7.951559,
-		"procedure":                                         -7.546094,
-		"program":                                           -7.546094,
-		"public":                                            -8.644707,
-		"random":                                            -8.644707,
-		"randymnity.":                                       -8.644707,
-		"rep":                                               -7.546094,
-		"repeat":                                            -8.644707,
-		"repeating":                                         -8.644707,
-		"required":                                          -8.644707,
-		"res":                                               -3.688879,
-		"result":                                            -6.565265,
-		"rn":                                                -5.509212,
-		"s":                                                 -5.936656,
-		"same":                                              -8.644707,
-		"score":                                             -7.951559,
-		"secred":                                            -8.644707,
-		"sentence.":                                         -8.644707,
-		"shfolder.dll":                                      -7.951559,
-		"sign":                                              -7.258412,
-		"specialize":                                        -7.951559,
-		"spoofing":                                          -8.644707,
-		"stdcall":                                           -8.644707,
-		"string":                                            -6.565265,
-		"string.":                                           -8.644707,
-		"strings":                                           -8.644707,
-		"supplied":                                          -8.644707,
-		"sysutils":                                          -8.644707,
-		"team":                                              -8.644707,
-		"tell":                                              -8.644707,
-		"test":                                              -7.951559,
-		"that":                                              -7.951559,
-		"the":                                               -5.754335,
-		"them":                                              -8.644707,
-		"then":                                              -4.981145,
-		"this":                                              -8.644707,
-		"times":                                             -7.258412,
-		"tlist":                                             -7.951559,
-		"to":                                                -4.550362,
-		"true":                                              -7.546094,
-		"trunc":                                             -7.951559,
-		"trying":                                            -8.644707,
-		"turn.":                                             -8.644707,
-		"type":                                              -7.258412,
-		"unit":                                              -7.546094,
-		"until":                                             -7.546094,
-		"useful":                                            -8.644707,
-		"user":                                              -7.546094,
-		"uses":                                              -6.565265,
-		"using":                                             -8.644707,
-		"uw":                                                -7.951559,
-		"var":                                               -4.637373,
-		"vector":                                            -7.035269,
-		"vtCurrency":                                        -8.644707,
-		"vtExtended":                                        -8.644707,
-		"vtInt":                                             -8.644707,
-		"vtInteger":                                         -8.644707,
-		"warranty":                                          -8.644707,
-		"well":                                              -7.951559,
-		"while":                                             -8.644707,
-		"will":                                              -8.644707,
-		"win":                                               -8.644707,
-		"windows":                                           -8.644707,
-		"with":                                              -8.644707,
-		"without":                                           -8.644707,
-		"won":                                               -8.644707,
-		"workCounter":                                       -7.951559,
-		"would":                                             -8.644707,
-		"writeln":                                           -8.644707,
-		"x":                                                 -7.258412,
-		"{":                                                 -5.148199,
-		"}":                                                 -5.178971,
+		"!":  -8.688959,
+		"#":  -7.995812,
+		"$":  -4.718667,
+		"'":  -8.688959,
+		"(":  -3.147696,
+		")":  -3.143782,
+		"*":  -6.204053,
+		"**": -7.590347,
+		"*****************************************************************************": -8.688959,
+		"*.res":               -8.688959,
+		"+":                   -5.025398,
+		",":                   -3.576971,
+		"-":                   -4.797139,
+		".Category":           -8.688959,
+		".PushBack":           -8.688959,
+		".VCurrency":          -8.688959,
+		".VExtended":          -8.688959,
+		".VInt":               -8.688959,
+		".VInteger":           -8.688959,
+		".VType":              -8.688959,
+		"/":                   -7.590347,
+		"//CoClasses":         -8.688959,
+		"//Enums":             -8.688959,
+		"//Forward":           -8.688959,
+		"//Map":               -8.688959,
+		"//Writeln":           -8.688959,
+		"//interface":         -8.688959,
+		"//records":           -8.688959,
+		"/usr/bin/instantfpc": -8.688959,
+		"0":                   -5.105440,
+		"1":                   -5.597917,
+		":":                   -2.636870,
+		";":                   -2.218160,
+		"<":                   -6.386374,
+		"<(a:>":               -7.302665,
+		"<String,TConfigValues,TStrHashCaseInsensitive>": -8.688959,
+		"<String>":                         -8.688959,
+		"=":                                -2.991866,
+		">":                                -6.049902,
+		"?":                                -7.995812,
+		"@APATH":                           -8.688959,
+		"@APath":                           -8.688959,
+		"@test":                            -8.688959,
+		"A":                                -7.995812,
+		"ACaption":                         -7.590347,
+		"ADescr":                           -7.590347,
+		"ADescr.LazPackage":                -8.688959,
+		"ADescription":                     -7.590347,
+		"AFormClass":                       -6.204053,
+		"AFormClass.ClassName":             -8.688959,
+		"ANY":                              -8.688959,
+		"APackage":                         -6.204053,
+		"AUnit":                            -7.590347,
+		"AUnitName":                        -7.590347,
+		"ActiveX":                          -8.688959,
+		"Ahwnd":                            -8.688959,
+		"Application.CreateForm":           -8.688959,
+		"Application.Initialize":           -8.688959,
+		"Application.MainFormOnTaskbar":    -8.688959,
+		"Application.Run":                  -8.688959,
+		"Author":                           -8.688959,
+		"B":                                -7.302665,
+		"BIOS":                             -8.688959,
+		"BoolToStr":                        -7.995812,
+		"Boolean":                          -7.079521,
+		"Break":                            -8.688959,
+		"BullCow":                          -8.688959,
+		"C":                                -8.688959,
+		"CFGDLLHandle":                     -7.590347,
+		"CFGDllHandle":                     -8.688959,
+		"CLASS_LazComCoClass":              -7.302665,
+		"COPYING.FPC":                      -8.688959,
+		"CSIDL_ADMINTOOLS":                 -8.688959,
+		"CSIDL_APPDATA":                    -8.688959,
+		"CSIDL_CDBURN_AREA":                -8.688959,
+		"CSIDL_COMMON_ADMINTOOLS":          -8.688959,
+		"CSIDL_COMMON_APPDATA":             -8.688959,
+		"CSIDL_COMMON_DESKTOPDIRECTORY":    -8.688959,
+		"CSIDL_COMMON_DOCUMENTS":           -8.688959,
+		"CSIDL_COMMON_FAVORITES":           -8.688959,
+		"CSIDL_COMMON_MUSIC":               -8.688959,
+		"CSIDL_COMMON_PICTURES":            -8.688959,
+		"CSIDL_COMMON_PROGRAMS":            -8.688959,
+		"CSIDL_COMMON_STARTMENU":           -8.688959,
+		"CSIDL_COMMON_STARTUP":             -8.688959,
+		"CSIDL_COMMON_TEMPLATES":           -8.688959,
+		"CSIDL_COMMON_VIDEO":               -8.688959,
+		"CSIDL_COOKIES":                    -8.688959,
+		"CSIDL_DESKTOPDIRECTORY":           -8.688959,
+		"CSIDL_FAVORITES":                  -8.688959,
+		"CSIDL_FLAG_CREATE":                -7.995812,
+		"CSIDL_HISTORY":                    -8.688959,
+		"CSIDL_INTERNET_CACHE":             -8.688959,
+		"CSIDL_LOCAL_APPDATA":              -8.688959,
+		"CSIDL_MYMUSIC":                    -8.688959,
+		"CSIDL_MYPICTURES":                 -8.688959,
+		"CSIDL_MYVIDEO":                    -8.688959,
+		"CSIDL_NETHOOD":                    -8.688959,
+		"CSIDL_PERSONAL":                   -8.688959,
+		"CSIDL_PRINTHOOD":                  -8.688959,
+		"CSIDL_PROFILE":                    -8.688959,
+		"CSIDL_PROFILES":                   -8.688959,
+		"CSIDL_PROGRAMS":                   -8.688959,
+		"CSIDL_PROGRAM_FILES":              -8.688959,
+		"CSIDL_PROGRAM_FILES_COMMON":       -8.688959,
+		"CSIDL_RECENT":                     -8.688959,
+		"CSIDL_SENDTO":                     -8.688959,
+		"CSIDL_STARTMENU":                  -8.688959,
+		"CSIDL_STARTUP":                    -8.688959,
+		"CSIDL_SYSTEM":                     -8.688959,
+		"CSIDL_TEMPLATES":                  -8.688959,
+		"CSIDL_WINDOWS":                    -8.688959,
+		"Caption":                          -8.688959,
+		"Category":                         -8.688959,
+		"Char":                             -8.688959,
+		"Class":                            -6.743049,
+		"Classes":                          -7.590347,
+		"CoClass":                          -8.688959,
+		"CoLazComCoClass":                  -8.688959,
+		"CoLazComCoClass.Create":           -8.688959,
+		"CoLazComCoClass.CreateRemote":     -8.688959,
+		"ComObj":                           -8.688959,
+		"ComServer":                        -8.688959,
+		"Component":                        -8.688959,
+		"ConfigLine":                       -6.386374,
+		"ConfigName":                       -6.897200,
+		"ConfigStorage":                    -6.609518,
+		"ConfigStorage.Contains":           -7.590347,
+		"ConfigStorage.Free":               -8.688959,
+		"ConfigStrings":                    -7.590347,
+		"ConfigStrings.Free":               -8.688959,
+		"ConfigStrings.LoadFromFile":       -8.688959,
+		"ConfigValue":                      -7.590347,
+		"ConfigValues":                     -7.590347,
+		"ConfigValues.DelimitedText":       -8.688959,
+		"ConfigValues.Delimiter":           -8.688959,
+		"ConfigValues.Free":                -8.688959,
+		"ConfigValues.StrictDelimiter":     -8.688959,
+		"Const":                            -6.897200,
+		"Constructor":                      -7.590347,
+		"Convert":                          -8.688959,
+		"Copy":                             -7.995812,
+		"Copyright":                        -7.995812,
+		"Could":                            -8.688959,
+		"Count":                            -7.995812,
+		"Create":                           -6.897200,
+		"CreateComObject":                  -8.688959,
+		"CreateRemote":                     -8.688959,
+		"CreateRemoteComObject":            -8.688959,
+		"Csidl":                            -8.688959,
+		"CustomFormList":                   -7.079521,
+		"CustomFormList.Add":               -8.688959,
+		"CustomFormList.Count":             -7.995812,
+		"D":                                -6.609518,
+		"D.Category":                       -8.688959,
+		"D.UnitName":                       -8.688959,
+		"DS":                               -8.688959,
+		"DWord":                            -8.688959,
+		"Delete":                           -8.688959,
+		"Descr":                            -7.590347,
+		"Description":                      -8.688959,
+		"Destroy":                          -7.995812,
+		"Does":                             -7.995812,
+		"Don":                              -8.688959,
+		"DoneCustomForms":                  -7.995812,
+		"Double":                           -5.553465,
+		"E":                                -7.590347,
+		"Exit":                             -6.386374,
+		"FAuthor":                          -7.590347,
+		"FCL":                              -8.688959,
+		"FCaption":                         -7.302665,
+		"FCategory":                        -7.302665,
+		"FDescription":                     -7.302665,
+		"FFormClass":                       -7.302665,
+		"FFormDescr":                       -7.590347,
+		"FFormDescr.Author":                -7.995812,
+		"FFormDescr.Caption":               -7.995812,
+		"FFormDescr.Description":           -8.688959,
+		"FFormDescr.FFormClass":            -8.688959,
+		"FFormDescr.UnitName":              -8.688959,
+		"FITNESS":                          -8.688959,
+		"FLazPackage":                      -7.302665,
+		"FOR":                              -8.688959,
+		"FPC":                              -8.688959,
+		"FUnitName":                        -7.302665,
+		"False":                            -7.995812,
+		"Finalization":                     -7.995812,
+		"Finally":                          -8.688959,
+		"Flags":                            -8.688959,
+		"For":                              -7.590347,
+		"Form":                             -7.995812,
+		"FormClass":                        -8.688959,
+		"FormDescr":                        -8.688959,
+		"Format":                           -7.995812,
+		"Forms":                            -7.995812,
+		"Francesco":                        -8.688959,
+		"Free":                             -7.995812,
+		"FreeAndNil":                       -8.688959,
+		"FreeLibrary":                      -8.688959,
+		"Function":                         -5.916371,
+		"Get":                              -8.688959,
+		"GetGuess":                         -7.302665,
+		"GetInterfaceUsesSection":          -7.995812,
+		"GetLocalizedDescription":          -8.688959,
+		"GetLocalizedName":                 -8.688959,
+		"GetPage":                          -8.688959,
+		"GetUnixMangaImageURL":             -8.688959,
+		"GetVal":                           -8.688959,
+		"GetWindowsSpecialDir":             -8.688959,
+		"Guess":                            -6.491735,
+		"GuessScore":                       -7.995812,
+		"H":                                -7.302665,
+		"HRESULT":                          -8.688959,
+		"HWND":                             -8.688959,
+		"I":                                -7.302665,
+		"ID":                               -7.995812,
+		"IDispatch":                        -8.688959,
+		"IID_ILazCom":                      -8.688959,
+		"ILazCom":                          -6.386374,
+		"ILazComDisp":                      -7.995812,
+		"If":                               -7.079521,
+		"Inc":                              -7.995812,
+		"IncludeTrailingPathDelimiter":     -8.688959,
+		"Infinity":                         -7.590347,
+		"Inherited":                        -8.688959,
+		"InitCustomForms":                  -7.995812,
+		"InitDLL":                          -7.995812,
+		"Initialization":                   -7.995812,
+		"Initialize":                       -8.688959,
+		"IntToStr":                         -7.590347,
+		"Integer":                          -4.681626,
+		"IsNan":                            -7.995812,
+		"It":                               -7.995812,
+		"It.Free":                          -8.688959,
+		"It.Next":                          -8.688959,
+		"It.Value.Free":                    -8.688959,
+		"Iterator":                         -8.688959,
+		"L":                                -7.590347,
+		"L.Add":                            -8.688959,
+		"L.Count":                          -8.688959,
+		"L.Duplicates":                     -8.688959,
+		"L.Free":                           -8.688959,
+		"L.Sorted":                         -8.688959,
+		"LIBID_LazComLib":                  -8.688959,
+		"LazComCoClass":                    -8.688959,
+		"LazComLibLCID":                    -8.688959,
+		"LazComLibMajorVersion":            -8.688959,
+		"LazComLibMinorVersion":            -8.688959,
+		"LazComLib_":                       -7.995812,
+		"LazComMethod":                     -7.590347,
+		"LazComUnit":                       -8.688959,
+		"LazPackage":                       -8.688959,
+		"Length":                           -4.384894,
+		"Library":                          -8.688959,
+		"LineEnding":                       -8.688959,
+		"Load":                             -8.688959,
+		"Lombardi":                         -8.688959,
+		"MAX_PATH":                         -8.688959,
+		"MERCHANTABILITY":                  -8.688959,
+		"MachineName":                      -7.590347,
+		"Make":                             -7.995812,
+		"MakeNumber":                       -7.590347,
+		"Mantis":                           -8.688959,
+		"Math":                             -8.688959,
+		"Must":                             -8.688959,
+		"N":                                -7.079521,
+		"NaN":                              -7.590347,
+		"Name":                             -8.688959,
+		"NegInfinity":                      -7.302665,
+		"NewItemIntf":                      -8.688959,
+		"Nil":                              -7.995812,
+		"Nintendo":                         -8.688959,
+		"Num":                              -6.491735,
+		"OnTag":                            -8.688959,
+		"OnText":                           -8.688959,
+		"Ord":                              -8.688959,
+		"Otherwise":                        -8.688959,
+		"PARTICULAR":                       -8.688959,
+		"PChar":                            -7.995812,
+		"PFNSHGetFolderPath":               -7.995812,
+		"PURPOSE.":                         -8.688959,
+		"Parser":                           -8.688959,
+		"Parser.Exec":                      -8.688959,
+		"Parser.Free":                      -8.688959,
+		"Parser.OnFoundTag":                -8.688959,
+		"Parser.OnFoundText":               -8.688959,
+		"Pascal":                           -8.688959,
+		"Path":                             -8.688959,
+		"Pos":                              -7.302665,
+		"Procedure":                        -6.204053,
+		"Program":                          -8.688959,
+		"ProjectIntf":                      -8.688959,
+		"Property":                         -6.609518,
+		"Public":                           -7.995812,
+		"R":                                -8.688959,
+		"RandomRange":                      -8.688959,
+		"Randomize":                        -8.688959,
+		"Read":                             -6.609518,
+		"ReadLn":                           -8.688959,
+		"Register":                         -7.995812,
+		"RegisterCustomForm":               -6.609518,
+		"RegisterNewItemCategory":          -8.688959,
+		"RegisterProjectFileDescriptor":    -8.688959,
+		"Represent":                        -8.688959,
+		"RequiredPackages":                 -7.995812,
+		"ResourceClass":                    -8.688959,
+		"Result":                           -4.951290,
+		"SAppFrameWork":                    -7.995812,
+		"SHEBANG#!instantfpc":              -8.688959,
+		"SHGetFolderPath":                  -7.302665,
+		"SHGetFolderPathA":                 -8.688959,
+		"SInstanceOf":                      -7.995812,
+		"S_ISBLK":                          -8.688959,
+		"S_ISCHR":                          -8.688959,
+		"S_ISDIR":                          -8.688959,
+		"S_ISFIFO":                         -8.688959,
+		"S_ISLNK":                          -8.688959,
+		"S_ISREG":                          -8.688959,
+		"S_ISSOCK":                         -8.688959,
+		"S_OK":                             -8.688959,
+		"See":                              -8.688959,
+		"Self.Terminated":                  -8.688959,
+		"SeparatorPos":                     -6.609518,
+		"SetLength":                        -4.951290,
+		"Size":                             -8.688959,
+		"StrPas":                           -8.688959,
+		"StrToFourDigit":                   -7.590347,
+		"StrToInt":                         -8.688959,
+		"String":                           -5.356755,
+		"SysUtils":                         -7.590347,
+		"TAutoObject":                      -8.688959,
+		"TAutoObjectFactory.Create":        -8.688959,
+		"TConfigStorage":                   -7.995812,
+		"TConfigStorage.Create":            -8.688959,
+		"TConfigStorage.Destroy":           -8.688959,
+		"TConfigValues":                    -8.688959,
+		"TConfigValues.Create":             -8.688959,
+		"TCustomFormDescr":                 -6.124010,
+		"TCustomFormDescr.Create":          -7.302665,
+		"TCustomFormFileDescriptor":        -7.590347,
+		"TCustomFormFileDescriptor.Create": -7.995812,
+		"TCustomFormFileDescriptor.GetInterfaceUsesSection": -8.688959,
+		"TCustomFormFileDescriptor.GetLocalizedDescription": -8.688959,
+		"TCustomFormFileDescriptor.GetLocalizedName":        -8.688959,
+		"TFileDescPascalUnitWithResource":                   -8.688959,
+		"TForm":                                             -8.688959,
+		"TFormClass":                                        -6.386374,
+		"TFourDigit":                                        -6.124010,
+		"TFourDigit.":                                       -8.688959,
+		"TGUID":                                             -7.590347,
+		"THTMLParser.Create":                                -8.688959,
+		"THandle":                                           -7.995812,
+		"THashMap":                                          -8.688959,
+		"TIterator":                                         -8.688959,
+		"TLazCom":                                           -7.302665,
+		"TLazCom.LazComMethod":                              -8.688959,
+		"TMatrix":                                           -4.454853,
+		"TNewIDEItemCategory.Create":                        -8.688959,
+		"TObject":                                           -8.688959,
+		"TObjectList":                                       -8.688959,
+		"TObjectList.Create":                                -8.688959,
+		"TStrHashCaseInsensitive":                           -8.688959,
+		"TStrHashCaseInsensitive.hash":                      -8.688959,
+		"TStringList":                                       -7.995812,
+		"TStringList.Create":                                -7.079521,
+		"TStrings":                                          -8.688959,
+		"TVector":                                           -4.663608,
+		"Tentative":                                         -7.590347,
+		"This":                                              -7.995812,
+		"Token":                                             -8.688959,
+		"Trim":                                              -7.590347,
+		"True":                                              -7.079521,
+		"Try":                                               -8.688959,
+		"Turns":                                             -7.079521,
+		"Type":                                              -7.302665,
+		"U":                                                 -7.079521,
+		"Unit":                                              -7.995812,
+		"UnitName":                                          -8.688959,
+		"UpCase":                                            -7.590347,
+		"Upcase":                                            -8.688959,
+		"Uses":                                              -8.688959,
+		"Var":                                               -7.079521,
+		"Variants":                                          -8.688959,
+		"WARRANTY":                                          -8.688959,
+		"WITHOUT":                                           -8.688959,
+		"WellFormed":                                        -7.590347,
+		"While":                                             -8.688959,
+		"Windows":                                           -8.688959,
+		"Wins":                                              -7.995812,
+		"Write":                                             -6.386374,
+		"WriteFourDigit":                                    -7.995812,
+		"WriteLn":                                           -6.049902,
+		"Writeln":                                           -8.688959,
+		"[":                                                 -3.988479,
+		"\\":                                                -8.688959,
+		"]":                                                 -3.988479,
+		"^":                                                 -7.590347,
+		"_":                                                 -7.995812,
+		"_IFBLK":                                            -8.688959,
+		"_IFCHR":                                            -8.688959,
+		"_IFDIR":                                            -8.688959,
+		"_IFIFO":                                            -8.688959,
+		"_IFLNK":                                            -8.688959,
+		"_IFMT":                                             -6.743049,
+		"_IFREG":                                            -8.688959,
+		"_IFSOCK":                                           -8.688959,
+		"_TLB":                                              -7.995812,
+		"__errno":                                           -8.688959,
+		"a":                                                 -3.658521,
+		"about":                                             -8.688959,
+		"aliases":                                           -8.688959,
+		"an":                                                -4.860318,
+		"and":                                               -6.124010,
+		"are":                                               -8.688959,
+		"array":                                             -7.302665,
+		"as":                                                -7.079521,
+		"avoid":                                             -8.688959,
+		"b":                                                 -3.876775,
+		"be":                                                -7.590347,
+		"begin":                                             -3.747317,
+		"bn":                                                -5.470083,
+		"boolean":                                           -6.743049,
+		"break":                                             -8.688959,
+		"bull.":                                             -8.688959,
+		"bulls":                                             -7.079521,
+		"bulls.":                                            -8.688959,
+		"but":                                               -8.688959,
+		"by":                                                -7.995812,
+		"byrow":                                             -7.302665,
+		"c":                                                 -5.916371,
+		"case":                                              -7.302665,
+		"cdecl":                                             -8.688959,
+		"char":                                              -8.688959,
+		"check":                                             -7.590347,
+		"ciMultiInstance":                                   -8.688959,
+		"class":                                             -7.079521,
+		"comobj":                                            -8.688959,
+		"comserv":                                           -8.688959,
+		"const":                                             -6.491735,
+		"constructor":                                       -7.590347,
+		"contnrs":                                           -8.688959,
+		"copyright.":                                        -8.688959,
+		"cows":                                              -6.897200,
+		"current":                                           -7.079521,
+		"custforms":                                         -8.688959,
+		"cwindirs":                                          -8.688959,
+		"data":                                              -5.916371,
+		"declarations":                                      -7.995812,
+		"default":                                           -8.688959,
+		"defined":                                           -8.688959,
+		"delphi":                                            -8.688959,
+		"destructor":                                        -7.995812,
+		"details":                                           -8.688959,
+		"determine":                                         -8.688959,
+		"development":                                       -8.688959,
+		"digits":                                            -8.688959,
+		"digits.":                                           -8.688959,
+		"dispid":                                            -8.688959,
+		"dispinterface":                                     -7.995812,
+		"distributed":                                       -8.688959,
+		"distribution":                                      -8.688959,
+		"ditch.":                                            -8.688959,
+		"div":                                               -7.995812,
+		"do":                                                -4.545825,
+		"dupIgnore":                                         -8.688959,
+		"each":                                              -8.688959,
+		"else":                                              -6.291064,
+		"end":                                               -3.691747,
+		"end.":                                              -6.491735,
+		"endif":                                             -8.688959,
+		"error":                                             -8.688959,
+		"even":                                              -8.688959,
+		"export":                                            -8.688959,
+		"fd":                                                -7.995812,
+		"file":                                              -7.995812,
+		"following.":                                        -8.688959,
+		"for":                                               -4.594615,
+		"formed":                                            -8.688959,
+		"formed.":                                           -8.688959,
+		"fpc":                                               -8.688959,
+		"fpc_fullversion":                                   -8.688959,
+		"full":                                              -8.688959,
+		"function":                                          -5.644437,
+		"functions":                                         -8.688959,
+		"get":                                               -8.688959,
+		"gets":                                              -8.688959,
+		"ghashmap":                                          -8.688959,
+		"global":                                            -7.590347,
+		"gmail":                                             -8.688959,
+		"greater":                                           -8.688959,
+		"guess":                                             -7.590347,
+		"guess.":                                            -7.995812,
+		"gvector":                                           -8.688959,
+		"hash":                                              -8.688959,
+		"hope":                                              -8.688959,
+		"i":                                                 -3.327667,
+		"if":                                                -5.078041,
+		"implementation":                                    -7.302665,
+		"implied":                                           -8.688959,
+		"in":                                                -6.743049,
+		"included":                                          -8.688959,
+		"indices":                                           -8.688959,
+		"inherited":                                         -7.995812,
+		"initialization":                                    -8.688959,
+		"inline":                                            -6.609518,
+		"input":                                             -7.079521,
+		"integer":                                           -6.491735,
+		"interface":                                         -6.743049,
+		"is":                                                -7.590347,
+		"it":                                                -7.590347,
+		"it.":                                               -8.688959,
+		"its":                                               -8.688959,
+		"j":                                                 -5.430863,
+		"keeping":                                           -8.688959,
+		"l":                                                 -7.590347,
+		"l.Free":                                            -7.995812,
+		"l.Text":                                            -8.688959,
+		"large":                                             -8.688959,
+		"len":                                               -6.897200,
+		"line":                                              -8.688959,
+		"longint":                                           -6.609518,
+		"m":                                                 -5.356755,
+		"manager.container.Manager.retryConnect":            -8.688959,
+		"manager.container.PageContainerLinks":              -8.688959,
+		"manager.container.PageLinks":                       -8.688959,
+		"math":                                              -8.688959,
+		"math.max":                                          -7.079521,
+		"matrix":                                            -5.980909,
+		"max":                                               -7.302665,
+		"mod":                                               -5.798587,
+		"mode":                                              -7.079521,
+		"n":                                                 -3.860645,
+		"ncol":                                              -6.204053,
+		"new":                                               -8.688959,
+		"nil":                                               -6.897200,
+		"no":                                                -8.688959,
+		"not":                                               -6.897200,
+		"nrow":                                              -6.291064,
+		"number.":                                           -8.688959,
+		"objFPC":                                            -8.688959,
+		"objfpc":                                            -7.590347,
+		"of":                                                -6.291064,
+		"operator":                                          -5.078041,
+		"operator*":                                         -7.590347,
+		"operator**":                                        -7.302665,
+		"operator/":                                         -7.590347,
+		"or":                                                -7.079521,
+		"order":                                             -8.688959,
+		"out":                                               -8.688959,
+		"override":                                          -7.302665,
+		"p":                                                 -7.302665,
+		"parse":                                             -7.302665,
+		"parse.Count":                                       -7.995812,
+		"parse.Free":                                        -7.995812,
+		"part":                                              -8.688959,
+		"path":                                              -8.688959,
+		"pathBuf":                                           -8.688959,
+		"pathLength":                                        -8.688959,
+		"plongint":                                          -8.688959,
+		"power":                                             -8.688959,
+		"prevent":                                           -8.688959,
+		"private":                                           -7.995812,
+		"procedure":                                         -6.743049,
+		"program":                                           -7.590347,
+		"public":                                            -7.995812,
+		"random":                                            -8.688959,
+		"randymnity.":                                       -8.688959,
+		"rep":                                               -7.590347,
+		"repeat":                                            -8.688959,
+		"repeating":                                         -8.688959,
+		"required":                                          -8.688959,
+		"res":                                               -3.733132,
+		"result":                                            -6.609518,
+		"rn":                                                -5.553465,
+		"s":                                                 -5.980909,
+		"safecall":                                          -7.590347,
+		"same":                                              -8.688959,
+		"score":                                             -7.995812,
+		"secred":                                            -8.688959,
+		"sentence.":                                         -8.688959,
+		"shfolder.dll":                                      -7.995812,
+		"sign":                                              -7.302665,
+		"specialize":                                        -7.995812,
+		"spoofing":                                          -8.688959,
+		"stdcall":                                           -8.688959,
+		"string":                                            -6.386374,
+		"string.":                                           -8.688959,
+		"strings":                                           -8.688959,
+		"supplied":                                          -8.688959,
+		"sysutils":                                          -8.688959,
+		"team":                                              -8.688959,
+		"tell":                                              -8.688959,
+		"test":                                              -7.995812,
+		"that":                                              -7.995812,
+		"the":                                               -5.798587,
+		"them":                                              -8.688959,
+		"then":                                              -5.025398,
+		"this":                                              -8.688959,
+		"times":                                             -7.302665,
+		"tlist":                                             -7.995812,
+		"tmApartment":                                       -8.688959,
+		"to":                                                -4.578085,
+		"true":                                              -7.590347,
+		"trunc":                                             -7.995812,
+		"trying":                                            -8.688959,
+		"turn.":                                             -8.688959,
+		"type":                                              -7.079521,
+		"unions":                                            -8.688959,
+		"unit":                                              -7.302665,
+		"until":                                             -7.590347,
+		"useful":                                            -8.688959,
+		"user":                                              -7.590347,
+		"uses":                                              -6.291064,
+		"using":                                             -8.688959,
+		"uw":                                                -7.995812,
+		"var":                                               -4.681626,
+		"vector":                                            -7.079521,
+		"vtCurrency":                                        -8.688959,
+		"vtExtended":                                        -8.688959,
+		"vtInt":                                             -8.688959,
+		"vtInteger":                                         -8.688959,
+		"warranty":                                          -8.688959,
+		"well":                                              -7.995812,
+		"while":                                             -8.688959,
+		"will":                                              -8.688959,
+		"win":                                               -8.688959,
+		"windows":                                           -8.688959,
+		"with":                                              -8.688959,
+		"without":                                           -8.688959,
+		"won":                                               -8.688959,
+		"workCounter":                                       -7.995812,
+		"would":                                             -8.688959,
+		"writeln":                                           -8.688959,
+		"x":                                                 -7.302665,
+		"{":                                                 -5.025398,
+		"}":                                                 -5.051373,
 	},
 	"Pawn": map[string]float64{
 		"!":                               -5.571761,
@@ -122797,6 +128317,135 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"{":                             -3.898737,
 		"}":                             -3.851297,
 	},
+	"Q#": map[string]float64{
+		"!": -5.707110,
+		"$": -6.112575,
+		"(": -2.328386,
+		")": -2.339814,
+		"*": -5.707110,
+		"+": -4.859812,
+		",": -2.543043,
+		"-": -6.112575,
+		"/": -2.501657,
+		"/////////////////////////////////////////////////////////////////////////////////////////////": -6.112575,
+		":":                                  -3.714680,
+		";":                                  -2.529056,
+		"<":                                  -6.805723,
+		"=":                                  -3.222204,
+		"AddI":                               -5.196285,
+		"Adj":                                -6.805723,
+		"Adjoint":                            -6.112575,
+		"ApplyOrderFindingOracle":            -6.112575,
+		"ApplyXorInPlace":                    -5.419428,
+		"BitSizeI":                           -6.805723,
+		"Bool":                               -5.707110,
+		"CNOT":                               -6.805723,
+		"Controlled":                         -6.805723,
+		"Ctl":                                -6.805723,
+		"CustomModAdd":                       -6.805723,
+		"DiscreteOracle":                     -6.805723,
+		"EqualityFactI":                      -6.805723,
+		"EstimateFrequency":                  -6.112575,
+		"EstimatePeriod":                     -6.112575,
+		"ExpModI":                            -6.112575,
+		"Fact":                               -6.112575,
+		"FactorSemiprimeInteger":             -6.805723,
+		"GreatestCommonDivisorI":             -6.805723,
+		"IndexRange":                         -6.805723,
+		"Int":                                -3.861284,
+		"IntAsDouble":                        -6.805723,
+		"IsCoprimeI":                         -5.707110,
+		"Length":                             -5.707110,
+		"LittleEndian":                       -4.859812,
+		"LittleEndianAsBigEndian":            -6.805723,
+		"MaybeFactorsFromPeriod":             -6.805723,
+		"MeasureInteger":                     -6.112575,
+		"Message":                            -4.859812,
+		"Microsoft.Quantum.Arithmetic":       -6.112575,
+		"Microsoft.Quantum.Arrays":           -6.805723,
+		"Microsoft.Quantum.Canon":            -6.805723,
+		"Microsoft.Quantum.Characterization": -6.805723,
+		"Microsoft.Quantum.Convert":          -6.805723,
+		"Microsoft.Quantum.Diagnostics":      -6.112575,
+		"Microsoft.Quantum.Intrinsic":        -6.112575,
+		"Microsoft.Quantum.Math":             -6.805723,
+		"Microsoft.Quantum.Numerics.Samples": -6.805723,
+		"Microsoft.Quantum.Oracles":          -6.805723,
+		"Microsoft.Quantum.Samples.IntegerFactorization": -6.805723,
+		"MultiplyByModularInteger":                       -6.805723,
+		"PI":                                             -6.805723,
+		"PeriodFromFrequency":                            -6.805723,
+		"QuantumPhaseEstimation":                         -6.805723,
+		"Qubit":                                          -4.726281,
+		"RandomInt":                                      -6.805723,
+		"ResetAll":                                       -6.805723,
+		"RobustPhaseEstimation":                          -6.805723,
+		"Round":                                          -6.805723,
+		"Unit":                                           -6.805723,
+		"X":                                              -6.805723,
+		"[":                                              -4.166665,
+		"]":                                              -4.166665,
+		"^":                                              -6.805723,
+		"_":                                              -6.112575,
+		"apply":                                          -6.805723,
+		"bitsPrecision":                                  -5.013963,
+		"bitsize":                                        -5.013963,
+		"ctrl":                                           -5.419428,
+		"eigenstateRegister":                             -6.112575,
+		"eigenstateRegisterLE":                           -5.419428,
+		"else":                                           -5.419428,
+		"factors":                                        -5.419428,
+		"false":                                          -5.707110,
+		"fixup":                                          -6.112575,
+		"for":                                            -6.805723,
+		"foundFactors":                                   -5.419428,
+		"frequencyEstimate":                              -4.859812,
+		"frequencyEstimateNumerator":                     -5.707110,
+		"gcd":                                            -5.707110,
+		"generator":                                      -4.166665,
+		"i":                                              -5.419428,
+		"if":                                             -5.419428,
+		"in":                                             -6.805723,
+		"input":                                          -5.419428,
+		"inputs":                                         -4.726281,
+		"is":                                             -6.805723,
+		"let":                                            -4.033134,
+		"m":                                              -5.419428,
+		"mQubits":                                        -5.707110,
+		"modulus":                                        -4.166665,
+		"mutable":                                        -5.013963,
+		"namespace":                                      -6.112575,
+		"new":                                            -6.805723,
+		"numBits":                                        -5.419428,
+		"number":                                         -4.608498,
+		"open":                                           -4.320816,
+		"operation":                                      -5.196285,
+		"oracle":                                         -5.707110,
+		"period":                                         -6.112575,
+		"phase":                                          -6.112575,
+		"power":                                          -6.112575,
+		"register":                                       -6.112575,
+		"repeat":                                         -6.112575,
+		"result":                                         -5.196285,
+		"results":                                        -5.707110,
+		"return":                                         -5.013963,
+		"set":                                            -4.726281,
+		"target":                                         -6.112575,
+		"tmp":                                            -5.707110,
+		"true":                                           -6.805723,
+		"until":                                          -6.112575,
+		"useRobustPhaseEstimation":                       -5.013963,
+		"using":                                          -5.707110,
+		"w/":                                             -6.805723,
+		"within":                                         -6.805723,
+		"x":                                              -5.196285,
+		"xQubits":                                        -5.707110,
+		"y":                                              -5.419428,
+		"yQubits":                                        -5.419428,
+		"yc":                                             -5.196285,
+		"{":                                              -3.627669,
+		"}":                                              -3.547626,
+	},
 	"QML": map[string]float64{
 		"&&":                            -4.578142,
 		"(":                             -2.275556,
@@ -122957,6 +128606,81 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":                   -5.062595,
 		"}":                   -3.270836,
 	},
+	"Qt Script": map[string]float64{
+		"!":                                      -6.650279,
+		"(":                                      -2.373613,
+		")":                                      -2.373613,
+		"+":                                      -2.555934,
+		",":                                      -1.687434,
+		".browseButton.clicked.connect":          -6.650279,
+		".complete":                              -5.551667,
+		".qmakePathLineEdit.text":                -4.858520,
+		".qmakePathLineEdit.textChanged.connect": -6.650279,
+		"/":                                      -5.957132,
+		"//g":                                    -6.650279,
+		"/bin.*/":                                -6.650279,
+		"/g":                                     -6.650279,
+		";":                                      -2.738256,
+		"=":                                      -2.491396,
+		"Component":                              -5.957132,
+		"Component.prototype.createOperations":   -5.957132,
+		"Component.prototype.createOperationsForArchive": -6.650279,
+		"QFileDialog.getOpenFileName":                    -5.957132,
+		"QInstaller.ComponentSelection":                  -6.650279,
+		"QMessageBox.warning":                            -5.957132,
+		"[":                                              -5.040841,
+		"\\":                                             -5.551667,
+		"]":                                              -5.040841,
+		"abi":                                            -5.263985,
+		"archive":                                        -5.263985,
+		"basecomponent":                                  -5.040841,
+		"catch":                                          -5.551667,
+		"checkQmakePath":                                 -5.957132,
+		"component":                                      -6.650279,
+		"component.addElevatedOperation":                 -6.650279,
+		"component.addOperation":                         -4.011222,
+		"component.createOperations":                     -5.957132,
+		"component.name":                                 -5.957132,
+		"component.name.lastIndexOf":                     -6.650279,
+		"component.name.substring":                       -6.650279,
+		"component.userInterface":                        -4.252384,
+		"debuggerId":                                     -5.263985,
+		"device":                                         -6.650279,
+		"e":                                              -4.858520,
+		"else":                                           -5.040841,
+		"executableExt":                                  -4.858520,
+		"false":                                          -5.957132,
+		"function":                                       -4.704369,
+		"hostSysroot":                                    -4.858520,
+		"icon":                                           -5.957132,
+		"if":                                             -4.347694,
+		"installPath":                                    -5.957132,
+		"installer.addWizardPage":                        -6.650279,
+		"installer.fileExists":                           -5.957132,
+		"installer.value":                                -4.570838,
+		"path":                                           -3.559237,
+		"path.replace":                                   -5.551667,
+		"platform":                                       -4.858520,
+		"print":                                          -5.957132,
+		"qtId":                                           -5.263985,
+		"qtpath":                                         -5.551667,
+		"return":                                         -6.650279,
+		"script":                                         -4.570838,
+		"sdkFile":                                        -5.957132,
+		"sdkPath":                                        -5.957132,
+		"showFileDialog":                                 -5.957132,
+		"sysroot":                                        -5.957132,
+		"systemInfo.kernelType":                          -5.957132,
+		"target":                                         -4.858520,
+		"target_sys":                                     -4.704369,
+		"toolchainId":                                    -4.704369,
+		"true":                                           -6.650279,
+		"try":                                            -5.551667,
+		"var":                                            -3.705840,
+		"{":                                              -3.318075,
+		"||":                                             -6.650279,
+		"}":                                              -3.318075,
+	},
 	"Quake": map[string]float64{
 		"%":               -4.148412,
 		"&":               -5.247024,
@@ -131086,6 +136810,141 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":                             -2.825622,
 		"}":                             -4.399968,
 	},
+	"ReScript": map[string]float64{
+		"!":                     -4.949548,
+		"&&":                    -4.374183,
+		"(":                     -2.531652,
+		")":                     -2.531652,
+		"+":                     -5.537334,
+		",":                     -3.157788,
+		"-":                     -3.433200,
+		".":                     -4.661866,
+		".color":                -4.055730,
+		"//Js.log":              -7.146772,
+		":":                     -4.949548,
+		";":                     -6.453625,
+		"<":                     -4.748877,
+		"=":                     -1.910330,
+		">":                     -3.086329,
+		"?":                     -7.146772,
+		"Black":                 -3.968718,
+		"Js.Array":              -5.067331,
+		"None":                  -4.011278,
+		"Red":                   -4.438722,
+		"Some":                  -5.067331,
+		"_addLoop":              -6.048160,
+		"a":                     -7.146772,
+		"add":                   -7.146772,
+		"anchor":                -5.760478,
+		"anchorDelta":           -5.537334,
+		"appear":                -6.048160,
+		"array":                 -7.146772,
+		"as":                    -6.453625,
+		"bottom":                -6.048160,
+		"bottom_":               -6.453625,
+		"break":                 -7.146772,
+		"break.contents":        -5.760478,
+		"callback":              -7.146772,
+		"castNotOption":         -3.814568,
+		"cmp":                   -6.453625,
+		"color":                 -6.453625,
+		"count":                 -7.146772,
+		"createNode":            -7.146772,
+		"currentNode":           -4.844187,
+		"currentNode.color":     -7.146772,
+		"currentNode.parent":    -6.048160,
+		"disappear":             -6.048160,
+		"else":                  -4.438722,
+		"ength":                 -6.453625,
+		"false":                 -7.146772,
+		"findInsert":            -7.146772,
+		"findNode":              -7.146772,
+		"first":                 -6.453625,
+		"firstVisibleNode":      -7.146772,
+		"float":                 -6.453625,
+		"foundNode":             -6.453625,
+		"getAnchorDelta":        -6.453625,
+		"getY":                  -7.146772,
+		"grandParentOf":         -5.537334,
+		"height":                -6.048160,
+		"if":                    -4.055730,
+		"ignore":                -6.453625,
+		"inclusive":             -7.146772,
+		"inserted":              -6.453625,
+		"int":                   -7.146772,
+		"isLeft":                -5.537334,
+		"iterateWithY":          -7.146772,
+		"last":                  -6.453625,
+		"lastVisibleNode":       -7.146772,
+		"let":                   -3.779476,
+		"mutable":               -5.067331,
+		"new":                   -5.537334,
+		"node":                  -4.949548,
+		"node.value":            -6.048160,
+		"nodeColor":             -6.453625,
+		"nodeToInsert":          -5.355013,
+		"nodeToRemove.color":    -7.146772,
+		"nsafe_get":             -5.760478,
+		"old":                   -5.067331,
+		"oldIter":               -7.146772,
+		"oldIter.contents":      -4.581823,
+		"oldLen":                -5.760478,
+		"oldNewVisible":         -7.146772,
+		"oldNewVisible.new":     -6.453625,
+		"oldNewVisible.old":     -6.453625,
+		"onChangedVisible":      -7.146772,
+		"option":                -6.453625,
+		"pos":                   -7.146772,
+		"push":                  -7.146772,
+		"rbt":                   -4.256400,
+		"rbt.compare":           -6.453625,
+		"rbt.root":              -4.949548,
+		"rbt.size":              -6.453625,
+		"rec":                   -6.453625,
+		"ref":                   -6.048160,
+		"remained":              -6.453625,
+		"removeCountInPlace":    -7.146772,
+		"right":                 -7.146772,
+		"root":                  -7.146772,
+		"rotateLeft":            -5.760478,
+		"rotateRight":           -5.760478,
+		"s":                     -7.146772,
+		"sibling":               -4.202333,
+		"sibling.color":         -6.048160,
+		"sibling.left":          -5.355013,
+		"sibling.right":         -5.355013,
+		"siblingNN":             -7.146772,
+		"siblingNN.color":       -5.760478,
+		"siblingNN.left":        -5.760478,
+		"siblingNN.right":       -5.760478,
+		"siblingOf":             -6.048160,
+		"size":                  -7.146772,
+		"successor":             -4.748877,
+		"successor.color":       -6.453625,
+		"successor.parent":      -6.453625,
+		"successorParent":       -5.355013,
+		"successorParent.color": -5.537334,
+		"successorRef":          -7.146772,
+		"successorRef.contents": -6.453625,
+		"sum":                   -7.146772,
+		"switch":                -6.048160,
+		"top":                   -5.355013,
+		"top_":                  -6.453625,
+		"true":                  -5.537334,
+		"type":                  -6.453625,
+		"uncle":                 -6.048160,
+		"uncleOf":               -6.453625,
+		"updateSumRecursive":    -7.146772,
+		"value":                 -4.748877,
+		"while":                 -6.048160,
+		"y":                     -5.200862,
+		"y_":                    -6.453625,
+		"{":                     -3.340110,
+		"|":                     -5.067331,
+		"||":                    -5.067331,
+		"}":                     -3.340110,
+		"~":                     -4.313559,
+	},
 	"Readline Config": map[string]float64{
 		"$":            -3.555348,
 		"-":            -1.252763,
@@ -131108,718 +136967,833 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"text":         -3.555348,
 	},
 	"Reason": map[string]float64{
-		"!":                                  -6.601230,
-		"&&":                                 -6.264758,
-		"(":                                  -2.927464,
-		")":                                  -2.932553,
-		"*/":                                 -7.112056,
-		"*@":                                 -6.070602,
-		"+":                                  -5.119626,
-		",":                                  -3.886535,
-		"-":                                  -6.195765,
-		".":                                  -5.502618,
-		"...":                                -7.294377,
-		"..._":                               -8.210668,
-		"...env":                             -8.903815,
-		"...used":                            -8.903815,
-		".a":                                 -8.903815,
-		".merlin":                            -8.903815,
-		"/":                                  -6.957905,
-		"/Foo":                               -8.903815,
-		"/List":                              -7.294377,
-		"1":                                  -7.805203,
-		"2":                                  -8.903815,
-		"5":                                  -7.517521,
-		"7":                                  -8.903815,
-		":":                                  -2.942810,
-		";":                                  -2.617817,
-		"<":                                  -5.438079,
-		"<)>":                                -8.210668,
-		"</>":                                -5.571611,
-		"</Exp>":                             -8.903815,
-		"</Foo>":                             -6.706591,
-		"</LotsOfArguments>":                 -8.903815,
-		"</Much>":                            -8.210668,
-		"</Namespace.Foo>":                   -8.210668,
-		"</One>":                             -8.903815,
-		"</Sibling>":                         -8.903815,
-		"</So>":                              -7.517521,
-		"</Text>":                            -8.210668,
-		"</span>":                            -8.903815,
-		"</tagOne>":                          -8.903815,
-		"</tagTwo>":                          -8.903815,
-		"<Bar>":                              -6.195765,
-		"<Exp>":                              -8.903815,
-		"<Foo>":                              -4.560010,
-		"<LotsOfArguments>":                  -8.903815,
-		"<Much>":                             -7.294377,
-		"<Namespace.Foo>":                    -6.824374,
-		"<Nesting>":                          -8.210668,
-		"<One>":                              -8.210668,
-		"<Pun>":                              -8.903815,
-		"<Sibling>":                          -8.903815,
-		"<So>":                               -7.517521,
-		"<Test>":                             -8.903815,
-		"<Text>":                             -8.210668,
-		"<Two>":                              -8.903815,
-		"<\\/)>":                             -8.903815,
-		"<\\/>":                              -8.903815,
-		"<a>":                                -6.957905,
-		"<b>":                                -6.957905,
-		"<div>":                              -8.903815,
-		"<span>":                             -8.903815,
-		"<tagOne>":                           -7.517521,
-		"<tagTwo>":                           -7.517521,
-		"<v>":                                -6.824374,
-		"=":                                  -2.348458,
-		">":                                  -3.237389,
-		"?":                                  -5.240254,
-		"@@":                                 -8.210668,
-		"@@@autoFormat":                      -8.903815,
-		"@@@thisIsAThing":                    -8.903815,
-		"@JSX":                               -7.805203,
-		"@foo":                               -8.903815,
-		"@lookAtThisAttribute":               -8.903815,
-		"@warning":                           -8.210668,
-		"A":                                  -7.112056,
-		"App":                                -6.418909,
-		"Atom.Config.get":                    -7.805203,
-		"Atom.Env.setEnvVar":                 -8.903815,
-		"Atom.JsonValue.unsafeExtractString": -8.210668,
-		"B":                                  -7.112056,
-		"Bar":                                -8.903815,
-		"BasicStructures.run":                -8.903815,
-		"Black":                              -6.195765,
-		"C":                                  -7.294377,
-		"Char.chr":                           -8.903815,
-		"Char.escaped":                       -8.903815,
-		"Clo":                                -5.536519,
-		"Clo.Clo":                            -8.903815,
-		"Clo.from":                           -8.210668,
-		"Clo.t":                              -8.210668,
-		"Cmp":                                -5.959376,
-		"CssAlignAuto":                       -8.903815,
-		"CssAlignCenter":                     -7.805203,
-		"CssAlignFlexEnd":                    -8.210668,
-		"CssAlignFlexStart":                  -8.210668,
-		"CssAlignStretch":                    -7.805203,
-		"CssFlexDirectionColumn":             -6.824374,
-		"CssFlexDirectionRow":                -6.601230,
-		"CssMeasureModeAtMost":               -8.210668,
-		"CssMeasureModeExactly":              -6.957905,
-		"CssMeasureModeUndefined":            -6.601230,
-		"CssPositionAbsolute":                -8.903815,
-		"CssPositionRelative":                -8.210668,
-		"D":                                  -7.805203,
-		"D.x":                                -8.903815,
-		"D.y":                                -8.903815,
-		"Delim":                              -8.903815,
-		"Delim.pp":                           -7.112056,
-		"Dot":                                -6.505920,
-		"Endo":                               -8.903815,
-		"Env":                                -8.903815,
-		"Env.used":                           -8.210668,
-		"Exp":                                -8.903815,
-		"Foo":                                -7.294377,
-		"Foo.createElement":                  -8.903815,
-		"Format":                             -8.903815,
-		"Green":                              -6.505920,
-		"Halt":                               -7.517521,
-		"Id":                                 -6.505920,
-		"Invalid_argument":                   -8.210668,
-		"Js.Unsafe.any":                      -8.903815,
-		"Js.Unsafe.fun_call":                 -8.903815,
-		"Js.Unsafe.inject":                   -5.190243,
-		"Js.Unsafe.js_expr":                  -8.903815,
-		"Js.Unsafe.obj":                      -8.903815,
-		"Js.array":                           -6.706591,
-		"Js.number_of_float":                 -8.903815,
-		"Js.string":                          -5.502618,
-		"Js.to_string":                       -8.903815,
-		"Js.wrap_callback":                   -8.210668,
-		"JsonString":                         -8.210668,
-		"Lam":                                -6.706591,
-		"LayoutPrint.printCssNode":           -8.903815,
-		"List":                               -6.824374,
-		"List.nth":                           -8.903815,
-		"LotsOfArguments":                    -8.903815,
-		"M_RK__G.Types.instance":             -8.903815,
-		"M_RK__Gesture.Types.instance":       -8.210668,
-		"Machine":                            -8.903815,
-		"Machine.norm":                       -8.903815,
-		"Modules.run":                        -8.903815,
-		"Much":                               -8.903815,
-		"Name":                               -8.903815,
-		"Name.gen":                           -8.903815,
-		"Name.t":                             -8.210668,
-		"Namespace":                          -8.903815,
-		"Nesting":                            -8.903815,
-		"None":                               -6.013443,
-		"Not_found":                          -8.903815,
-		"Ocamlmerlin":                        -7.805203,
-		"One":                                -8.903815,
-		"Polymorphism.run":                   -8.903815,
-		"Prec":                               -8.903815,
-		"Prec.calc":                          -8.210668,
-		"Pretty":                             -8.903815,
-		"Pretty.Clo.pp":                      -8.210668,
-		"Pretty.Env.mk":                      -7.805203,
-		"Pretty.Term.pp":                     -8.903815,
-		"Pretty.Zip.pp":                      -8.903815,
-		"Printf.printf":                      -6.706591,
-		"Pun":                                -8.903815,
-		"Red":                                -6.338866,
-		"Run":                                -8.903815,
-		"Shift":                              -6.824374,
-		"Sibling":                            -8.903815,
-		"So":                                 -8.903815,
-		"Some":                               -6.338866,
-		"Stream.from":                        -8.903815,
-		"Stream.next":                        -8.903815,
-		"Stream.t":                           -8.903815,
-		"Style":                              -7.517521,
-		"Sub":                                -8.903815,
-		"Sub.apply":                          -8.903815,
-		"Sub.map":                            -8.903815,
-		"Sub.pp":                             -8.903815,
-		"Sub.t":                              -8.903815,
-		"Syntax":                             -8.210668,
-		"Syntax.Sub":                         -7.517521,
-		"Syntax.Term":                        -7.294377,
-		"TGRecognizer.tGFields":              -8.903815,
-		"TGRecognizer.tGMethods":             -8.903815,
-		"TGRecognizerFinal.tGFields":         -8.903815,
-		"TGRecognizerFinal.tGMethods":        -8.903815,
-		"Term":                               -8.903815,
-		"Term.App":                           -7.517521,
-		"Term.Lam":                           -7.805203,
-		"Term.Var":                           -6.824374,
-		"Term.pp":                            -8.903815,
-		"Term.t":                             -8.903815,
-		"Test":                               -8.210668,
-		"Test.init":                          -8.903815,
-		"TestUtils.printSection":             -8.903815,
-		"Text":                               -8.903815,
-		"TryToExportTwice":                   -8.903815,
-		"Two":                                -8.903815,
-		"Unexpected":                         -8.903815,
-		"Var":                                -5.438079,
-		"Variants.run":                       -8.903815,
-		"X":                                  -7.112056,
-		"Zip":                                -7.805203,
-		"Zip.App":                            -8.210668,
-		"Zip.Halt":                           -8.903815,
-		"Zip.Lam":                            -8.903815,
-		"Zip.apply":                          -8.903815,
-		"Zip.map":                            -8.903815,
-		"Zip.t":                              -8.903815,
-		"[":                                  -5.142615,
-		"]":                                  -5.142615,
-		"^":                                  -7.517521,
-		"_":                                  -5.377455,
-		"`":                                  -6.824374,
-		"a":                                  -4.426478,
-		"a=":                                 -6.706591,
-		"aTypeAnnotation":                    -8.210668,
-		"acc":                                -6.824374,
-		"add":                                -8.903815,
-		"addPoints":                          -8.903815,
-		"addThreeNumbers":                    -8.210668,
-		"addThreeNumbersTupled":              -8.210668,
-		"addTwoNumbers":                      -8.210668,
-		"adders":                             -8.903815,
-		"age":                                -7.517521,
-		"aliasedToThisVar":                   -8.210668,
-		"alignContent":                       -7.517521,
-		"alignItem":                          -7.517521,
-		"allParensCanBeRemoved":              -8.903815,
-		"an":                                 -8.903815,
-		"and":                                -8.903815,
-		"andNotFunctionInvocations":          -8.903815,
-		"andOtherArg":                        -8.903815,
-		"annotatedResult":                    -8.903815,
-		"annotatedSpreadRecord":              -8.210668,
-		"anotherArg":                         -7.294377,
-		"anotherOptional":                    -8.903815,
-		"anotherOptional=":                   -7.112056,
-		"apply":                              -6.505920,
-		"arg":                                -8.210668,
-		"argOne":                             -8.903815,
-		"argument":                           -6.264758,
-		"argument1=":                         -8.210668,
-		"argument2=":                         -8.903815,
-		"argument3=":                         -8.903815,
-		"argument4=":                         -8.903815,
-		"argument5=":                         -8.903815,
-		"argument6=":                         -8.903815,
-		"as":                                 -8.903815,
-		"asd":                                -8.903815,
-		"atomReasonMerlinFlags":              -8.210668,
-		"atomReasonMerlinLogFile":            -8.210668,
-		"atomReasonPathToMerlin":             -8.210668,
-		"availableHeight":                    -6.264758,
-		"availableInnerCrossDim":             -7.805203,
-		"availableWidth":                     -6.264758,
-		"b":                                  -5.377455,
-		"b=":                                 -7.112056,
-		"beginAtFilePath":                    -8.903815,
-		"blah":                               -5.959376,
-		"blahBlah":                           -7.517521,
-		"blahCurriedX":                       -7.517521,
-		"bool":                               -8.903815,
-		"boundAxis":                          -8.210668,
-		"butTheyWillBePrintedWithAppropriateSpacing": -8.903815,
-		"butWeWillPrint":           -8.903815,
-		"c":                        -6.706591,
-		"c=":                       -8.903815,
-		"cachedMeasurementAt":      -7.805203,
-		"cachedMeasurementAtIndex": -7.294377,
-		"cachedMeasurementAtIndex.availableHeight":   -8.903815,
-		"cachedMeasurementAtIndex.availableWidth":    -8.903815,
-		"cachedMeasurementAtIndex.heightMeasureMode": -8.903815,
-		"cachedMeasurementAtIndex.widthMeasureMode":  -8.903815,
-		"cachedResults.contents":                     -6.824374,
-		"cachedResults_":                             -8.903815,
-		"cachedResults_.computedHeight":              -8.210668,
-		"cachedResults_.computedWidth":               -8.210668,
-		"calc":                                       -8.903815,
-		"callSomeFunction":                           -8.903815,
-		"canUseCachedMeasurement":                    -8.210668,
-		"char":                                       -8.210668,
-		"child":                                      -8.903815,
-		"child.contents":                             -5.266229,
-		"child.contents.layout.computedFlexBasis":    -7.517521,
-		"child.contents.layout.measuredHeight":       -8.903815,
-		"child.contents.layout.measuredWidth":        -8.903815,
-		"child.contents.lineIndex":                   -8.903815,
-		"child.contents.style.flexBasis":             -8.210668,
-		"child.contents.style.height":                -8.903815,
-		"child.contents.style.positionType":          -7.805203,
-		"child.contents.style.width":                 -8.210668,
-		"childCount":                                 -8.903815,
-		"childHeight":                                -7.517521,
-		"childHeight.contents":                       -7.294377,
-		"childHeightMeasureMode":                     -8.210668,
-		"childHeightMeasureMode.contents":            -7.805203,
-		"childWidth":                                 -8.210668,
-		"childWidth.contents":                        -7.112056,
-		"childWidthMeasureMode":                      -8.210668,
-		"childWidthMeasureMode.contents":             -7.517521,
-		"child_process":                              -8.903815,
-		"children":                                   -5.607978,
-		"class":                                      -8.903815,
-		"classWithNoArg":                             -8.903815,
-		"clo":                                        -5.502618,
-		"close":                                      -8.903815,
-		"closed.":                                    -8.903815,
-		"cmd":                                        -7.294377,
-		"code":                                       -8.210668,
-		"colors":                                     -8.903815,
-		"component":                                  -8.210668,
-		"computeChildFlexBasis":                      -8.903815,
-		"const":                                      -8.903815,
-		"constraintedSequenceItem":                   -8.903815,
-		"containerCrossAxis.contents":                -8.903815,
-		"contents":                                   -5.908083,
-		"contextify":                                 -7.805203,
-		"count":                                      -7.805203,
-		"cr":                                         -8.210668,
-		"createElement":                              -6.013443,
-		"createElementobvioustypo":                   -8.903815,
-		"createMerlinReaderFnOnce":                   -8.210668,
-		"crossAxis":                                  -5.812773,
-		"crossDim.contents":                          -7.805203,
-		"crossDimLead":                               -8.903815,
-		"crossDimLead.contents":                      -8.210668,
-		"cssUndefined":                               -8.210668,
-		"css_max_cached_result_count":                -8.903815,
-		"ctx":                                        -5.645719,
-		"currentLead":                                -8.903815,
-		"currentLead.contents":                       -6.601230,
-		"curriedArg":                                 -7.294377,
-		"d":                                          -8.210668,
-		"data":                                       -8.903815,
-		"dateHired":                                  -8.903815,
-		"desiredFormattingForWrappedLambda":          -8.903815,
-		"desiredFormattingForWrappedLambdaReturnOnNewLine": -8.903815,
-		"desiredFormattingForWrappedLambdaWrappedArrow":    -8.903815,
-		"desiredFormattingForWrappedSugar":                 -8.903815,
-		"desiredFormattingForWrappedSugarReturnOnNewLine":  -8.903815,
-		"destruct":                            -8.903815,
-		"developmentHabbits":                  -8.210668,
-		"direction":                           -7.805203,
-		"displayName":                         -5.859293,
-		"div":                                 -7.805203,
-		"divideScalarByInt":                   -7.517521,
-		"dontKnowWheYoudWantToActuallyDoThis": -8.903815,
-		"dotMerlinPath":                       -8.210668,
-		"dummyMeasure":                        -8.903815,
-		"e":                                   -4.914831,
-		"eachItemInListCanBeAnnotated":        -8.903815,
-		"elem":                                -7.517521,
-		"else":                                -5.859293,
-		"endIndex":                            -8.903815,
-		"endIndex.contents":                   -7.805203,
-		"endOfLineIndex.contents":             -8.210668,
-		"endPosition":                         -7.805203,
-		"entire":                              -8.903815,
-		"env":                                 -5.959376,
-		"error":                               -8.210668,
-		"exception":                           -8.903815,
-		"explictlyPassed":                     -8.210668,
-		"explictlyPassedAnnotated":            -8.210668,
-		"extension":                           -7.805203,
-		"external":                            -8.903815,
-		"f":                                   -7.112056,
-		"failure":                             -8.903815,
-		"failwith":                            -8.210668,
-		"false":                               -6.957905,
-		"ff":                                  -8.903815,
-		"findNearestMerlinFile":               -8.210668,
-		"first":                               -7.805203,
-		"firstNamedArgNeedsParens":            -8.903815,
-		"firstNamedArgShouldBeGroupedInParensAndSecondNamedArg": -8.903815,
-		"firstTwoShouldBeGroupedAndFirstThree":                  -8.903815,
-		"fix":                                                   -8.210668,
-		"fixedEnv":                                              -8.210668,
-		"float":                                                 -8.210668,
-		"fmaxf":                                                 -7.294377,
-		"fmt":                                                   -5.908083,
-		"foo":                                                   -6.706591,
-		"foo=":                                                  -7.517521,
-		"for":                                                   -7.294377,
-		"format":                                                -8.903815,
-		"foundCached":                                           -8.210668,
-		"foundCached.contents":                                  -7.517521,
-		"fprintf":                                               -6.195765,
-		"fragment":                                              -6.070602,
-		"from":                                                  -7.294377,
-		"fs":                                                    -8.903815,
-		"fun":                                                   -5.768321,
-		"gCurrentGenerationCount.contents":                      -7.805203,
-		"gDepth.contents":                                       -6.824374,
-		"gPrintChanges.contents":                                -7.517521,
-		"gPrintSkips.contents":                                  -8.903815,
-		"gPrintTree.contents":                                   -8.903815,
-		"gen":                                                   -8.903815,
-		"getAlignItem":                                          -8.210668,
-		"getAutoCompleteSuggestions":                            -8.903815,
-		"getDiagnostics":                                        -8.903815,
-		"getDimWithMargin":                                      -8.903815,
-		"getLeadingBorder":                                      -8.903815,
-		"getLeadingMargin":                                      -7.517521,
-		"getLeadingPositionWithFallback":                        -8.903815,
-		"getMarginAxis":                                         -6.824374,
-		"getModeName":                                           -7.112056,
-		"getOccurrences":                                        -8.903815,
-		"getOutline":                                            -8.903815,
-		"getPaddingAndBorderAxis":                               -7.805203,
-		"getSpacer":                                             -7.805203,
-		"getTrailingMargin":                                     -8.903815,
-		"getTypeHint":                                           -8.903815,
-		"go":                                                    -6.264758,
-		"halted":                                                -8.210668,
-		"happened":                                              -8.903815,
-		"hasA":                                                  -8.903815,
-		"height":                                                -8.903815,
-		"heightMeasureMode":                                     -6.505920,
-		"heightMode":                                            -8.903815,
-		"heresAFunctionWithNamedArgs":                           -8.903815,
-		"hiredPerson":                                           -8.903815,
-		"i":                                                     -6.013443,
-		"ident":                                                 -8.903815,
-		"if":                                                    -5.032614,
-		"in":                                                    -7.294377,
-		"incr":                                                  -8.903815,
-		"index":                                                 -7.805203,
-		"init":                                                  -8.903815,
-		"initialString":                                         -8.903815,
-		"innerOne":                                              -8.210668,
-		"innerTwo":                                              -8.210668,
-		"int":                                                   -4.534367,
-		"intended":                                              -7.112056,
-		"intended=":                                             -7.112056,
-		"into":                                                  -8.210668,
-		"isCrossSizeDefinite":                                   -8.903815,
-		"isCrossSizeDefinite.contents":                          -7.805203,
-		"isLayoutDimDefined":                                    -8.903815,
-		"isLeadingPosDefinedWithFallback":                       -8.903815,
-		"isMainAxisRow":                                         -7.517521,
-		"isRowDirection":                                        -8.903815,
-		"isStyleDimDefined":                                     -6.957905,
-		"isUndefined":                                           -6.957905,
-		"j":                                                     -7.805203,
-		"j.contents":                                            -7.294377,
-		"jsxInFnCall":                                           -8.903815,
-		"jsxInList":                                             -6.824374,
-		"jsxInListA":                                            -8.903815,
-		"jsxInListB":                                            -8.903815,
-		"jsxInListC":                                            -8.903815,
-		"jsxInListD":                                            -8.903815,
-		"l":                                                     -5.768321,
-		"lastArg":                                               -7.294377,
-		"layout":                                                -7.805203,
-		"layout.cachedLayout":                                   -7.517521,
-		"layout.cachedLayout.availableHeight":                   -8.903815,
-		"layout.cachedLayout.availableWidth":                    -8.903815,
-		"layout.cachedLayout.heightMeasureMode":                 -8.903815,
-		"layout.cachedLayout.widthMeasureMode":                  -8.903815,
-		"layout.generationCount":                                -8.903815,
-		"layout.hasNewLayout":                                   -8.903815,
-		"layout.lastParentDirection":                            -8.903815,
-		"layout.measuredHeight":                                 -7.805203,
-		"layout.measuredWidth":                                  -7.805203,
-		"layout.nextCachedMeasurementsIndex":                    -6.957905,
-		"layoutMeasuredDimensionForAxis":                        -7.805203,
-		"layoutNodeImpl":                                        -8.903815,
-		"layoutNodeInternal":                                    -8.210668,
-		"layoutPosPositionForAxis":                              -8.903815,
-		"leadingCrossDim":                                       -8.903815,
-		"leadingCrossDim.contents":                              -7.294377,
-		"leadingPaddingAndBorderCross":                          -7.805203,
-		"let":                                                   -3.014937,
-		"line":                                                  -8.903815,
-		"lineCount.contents":                                    -7.294377,
-		"lineHeight":                                            -8.903815,
-		"lineHeight.contents":                                   -6.957905,
-		"list":                                                  -7.805203,
-		"listOfItems":                                           -7.805203,
-		"locate":                                                -8.903815,
-		"long":                                                  -8.903815,
-		"longWrappingTypeDefinitionExample":                     -8.903815,
-		"longerInt":                                             -7.517521,
-		"lookES":                                                -7.517521,
-		"lookTuplesRequireParens":                               -8.903815,
-		"lotsOfArguments":                                       -8.903815,
-		"lowerCase":                                             -8.903815,
-		"mainAxis":                                              -7.805203,
-		"mainDim.contents":                                      -8.903815,
-		"map":                                                   -8.210668,
-		"marginAxisColumn":                                      -7.517521,
-		"marginAxisRow":                                         -7.517521,
-		"match":                                                 -8.210668,
-		"maxLineMainDim.contents":                               -8.210668,
-		"merlinFlags":                                           -8.210668,
-		"method":                                                -8.210668,
-		"mk":                                                    -8.903815,
-		"mod":                                                   -8.210668,
-		"module":                                                -5.348467,
-		"myAnnotatedValBinding":                                 -8.903815,
-		"myFun":                                                 -7.805203,
-		"myOptional":                                            -7.517521,
-		"myRecord":                                              -8.903815,
-		"myRecord.nameBlah":                                     -8.903815,
-		"myRecordName":                                          -8.903815,
-		"myRecordWithFunctions":                                 -8.903815,
-		"myRecordWithFunctions.addThreeNumbers":                 -8.903815,
-		"myRecordWithFunctions.addThreeNumbersTupled": -8.903815,
-		"myVal":                           -8.903815,
-		"n":                               -6.505920,
-		"name":                            -6.706591,
-		"nameBlah":                        -6.418909,
-		"nameBlahType":                    -7.294377,
-		"named":                           -7.805203,
-		"namespace":                       -8.903815,
-		"needToVisitNode":                 -7.517521,
-		"nestedLet":                       -7.517521,
-		"newCacheEntry":                   -8.903815,
-		"newCacheEntry.availableHeight":   -8.903815,
-		"newCacheEntry.availableWidth":    -8.903815,
-		"newCacheEntry.computedHeight":    -8.903815,
-		"newCacheEntry.computedWidth":     -8.903815,
-		"newCacheEntry.heightMeasureMode": -8.903815,
-		"newCacheEntry.widthMeasureMode":  -8.903815,
-		"newCacheEntry_":                  -8.210668,
-		"newRecord":                       -7.294377,
-		"next":                            -6.338866,
-		"noParens":                        -8.903815,
-		"noParensNeeded":                  -8.903815,
-		"node":                            -6.131226,
-		"node.children.":                  -7.805203,
-		"node.childrenCount":              -8.903815,
-		"node.context":                    -7.805203,
-		"node.layout.direction":           -8.903815,
-		"node.layout.height":              -8.903815,
-		"node.layout.measuredHeight":      -8.210668,
-		"node.layout.measuredWidth":       -8.210668,
-		"node.layout.width":               -8.903815,
-		"node.measure":                    -8.903815,
-		"node.print":                      -7.805203,
-		"node.style.alignContent":         -8.903815,
-		"node.style.flexDirection":        -8.903815,
-		"node.style.height":               -8.903815,
-		"node.style.maxHeight":            -8.210668,
-		"node.style.maxWidth":             -8.210668,
-		"node.style.width":                -8.903815,
-		"norm":                            -8.903815,
-		"not":                             -6.601230,
-		"o":                               -8.210668,
-		"ocamlmerlin":                     -8.903815,
-		"offset":                          -8.210668,
-		"one":                             -7.294377,
-		"oneArg":                          -7.517521,
-		"onelineConstrain":                -8.903815,
-		"onlyDoingThisTopLevelLetToBypassTopLevelSequence": -8.903815,
-		"open":                       -6.131226,
-		"option":                     -8.903815,
-		"outerOne":                   -7.805203,
-		"outerTwo":                   -7.805203,
-		"output":                     -8.903815,
-		"p":                          -8.210668,
-		"p.name":                     -8.210668,
-		"parentDirection":            -7.805203,
-		"path":                       -5.190243,
-		"pathToMerlin":               -8.210668,
-		"performLayout":              -6.338866,
-		"person":                     -7.294377,
-		"pi":                         -7.517521,
-		"point":                      -7.805203,
-		"position":                   -6.418909,
-		"positionToJsMerlinPosition": -7.112056,
-		"pp":                         -6.013443,
-		"pp_elem":                    -6.505920,
-		"pp_print_string":            -8.210668,
-		"prefix":                     -7.805203,
-		"prepareCommand":             -6.824374,
-		"prev":                       -5.859293,
-		"prime":                      -8.210668,
-		"printChildren":              -8.903815,
-		"printLayout":                -8.903815,
-		"printPerson":                -8.903815,
-		"printPoint":                 -8.903815,
-		"printStyle":                 -8.903815,
-		"print_int":                  -6.195765,
-		"print_string":               -7.294377,
-		"printer":                    -6.957905,
-		"punning":                    -8.903815,
-		"q":                          -8.903815,
-		"query":                      -6.338866,
-		"raise":                      -7.805203,
-		"readOneLine":                -7.805203,
-		"readerFn":                   -7.294377,
-		"readline":                   -8.903815,
-		"reason":                     -7.805203,
-		"rec":                        -6.601230,
-		"recordVal":                  -8.210668,
-		"ref":                        -7.517521,
-		"reject":                     -6.070602,
-		"remainingAlignContentDim":   -7.517521,
-		"remainingCrossDim":          -7.805203,
-		"res":                        -7.112056,
-		"resAnnotated":               -8.210668,
-		"resolve":                    -6.070602,
-		"resolveAxis":                -8.903815,
-		"rest":                       -7.294377,
-		"result":                     -7.805203,
-		"returned":                   -8.903815,
-		"returnsASequenceExpressionWithASingleIdentifier": -8.903815,
-		"rho":                                   -7.112056,
-		"rule":                                  -6.338866,
-		"s":                                     -8.210668,
-		"sameThingInLocal":                      -8.903815,
-		"scalarToString":                        -6.824374,
-		"script":                                -8.210668,
-		"second":                                -8.210668,
-		"selfClosing":                           -7.805203,
-		"semiLongWrappingTypeDefinitionExample": -8.903815,
-		"semiLongWrappingTypeWithConstraint":    -8.903815,
-		"setLayoutLeadingPositionForAxis":       -6.957905,
-		"setPosition":                           -8.903815,
-		"sgm":                                   -5.032614,
-		"shift":                                 -8.903815,
-		"should":                                -8.903815,
-		"shouldContinue":                        -8.903815,
-		"shouldContinue.contents":               -8.210668,
-		"siblingNotSpaced":                      -8.903815,
-		"soAsToInstill":                         -8.903815,
-		"soAsToInstillBestDevelopmentPractices": -8.903815,
-		"someRec":                               -7.517521,
-		"something":                             -6.824374,
-		"spaceBefore":                           -8.210668,
-		"startIndex":                            -7.805203,
-		"startMerlinProcess":                    -8.210668,
-		"startOfLineIndex.contents":             -8.210668,
-		"startPosition":                         -7.805203,
-		"startedMerlin":                         -8.903815,
-		"startedMerlin.contents":                -7.805203,
-		"state":                                 -6.195765,
-		"state.clo":                             -8.903815,
-		"state.ctx":                             -8.903815,
-		"std_formatter":                         -7.805203,
-		"step":                                  -8.210668,
-		"stretchString":                         -8.903815,
-		"string":                                -6.505920,
-		"string_of_int":                         -8.903815,
-		"succ":                                  -7.294377,
-		"suffix":                                -7.517521,
-		"switch":                                -6.264758,
-		"t":                                     -6.505920,
-		"tag":                                   -7.517521,
-		"tagOne":                                -8.903815,
-		"tagTwo":                                -8.903815,
-		"term":                                  -8.210668,
-		"test":                                  -6.957905,
-		"test=":                                 -7.805203,
-		"testFunc":                              -8.210668,
-		"text":                                  -5.502618,
-		"that":                                  -8.903815,
-		"the":                                   -8.903815,
-		"them":                                  -8.210668,
-		"themAsSpaceSeparated":                  -8.903815,
-		"thing":                                 -7.112056,
-		"third":                                 -8.903815,
-		"thisIsANamedArg":                       -8.210668,
-		"thisIsAlsoOkay":                        -8.903815,
-		"thisIsOkay":                            -8.903815,
-		"thisIsRight":                           -7.805203,
-		"thisReturnsA":                          -8.210668,
-		"thisReturnsAAsWell":                    -8.903815,
-		"thisReturnsARecord":                    -8.903815,
-		"three":                                 -8.903815,
-		"to":                                    -7.112056,
-		"toInfluenceYour":                       -8.903815,
-		"token":                                 -8.210668,
-		"totalLineCrossDim.contents":            -7.294377,
-		"true":                                  -6.601230,
-		"try":                                   -8.903815,
-		"tt":                                    -8.903815,
-		"tupleConstraints":                      -8.903815,
-		"tupleInsideALetSequence":               -8.903815,
-		"tupleInsideAParenSequence":             -8.903815,
-		"two":                                   -7.294377,
-		"ty":                                    -8.903815,
-		"type":                                  -5.438079,
-		"typeAnnotation":                        -8.903815,
-		"typeConstraints":                       -8.903815,
-		"typeWithNestedNamedArgs":               -8.903815,
-		"typeWithNestedOptionalNamedArgs":       -8.210668,
-		"unit":                                  -6.957905,
-		"unitVal":                               -8.903815,
-		"used":                                  -7.294377,
-		"v":                                     -8.210668,
-		"values":                                -8.903815,
-		"video":                                 -8.210668,
-		"while":                                 -8.210668,
-		"width":                                 -7.517521,
-		"widthMeasureMode":                      -6.505920,
-		"widthMode":                             -8.903815,
-		"with":                                  -8.210668,
-		"withArg":                               -8.903815,
-		"wrap":                                  -8.210668,
-		"wrappedArg":                            -8.903815,
-		"wrong":                                 -8.903815,
-		"x":                                     -4.933523,
-		"x.contents":                            -8.903815,
-		"y":                                     -7.294377,
-		"yo":                                    -8.210668,
-		"yo=":                                   -8.903815,
-		"youCanEvenCallMethodsHereAndAnnotate":  -8.210668,
-		"zero":                                  -6.601230,
-		"zip":                                   -5.908083,
-		"{":                                     -3.394427,
-		"|":                                     -3.822411,
-		"||":                                    -8.903815,
-		"}":                                     -3.386362,
+		"!":                                  -6.369425,
+		"%":                                  -4.738008,
+		"&&":                                 -6.426584,
+		"(":                                  -2.475340,
+		")":                                  -2.478739,
+		"*":                                  -7.180355,
+		"*/":                                 -7.468038,
+		"*@":                                 -6.426584,
+		"+":                                  -5.388596,
+		",":                                  -3.668810,
+		"-":                                  -6.426584,
+		".":                                  -5.858600,
+		"...":                                -7.650359,
+		"..._":                               -8.566650,
+		"...env":                             -9.259797,
+		"...used":                            -9.259797,
+		".a":                                 -9.259797,
+		".merlin":                            -9.259797,
+		"/":                                  -7.313887,
+		"//example.com/pkg.tgz":              -7.873503,
+		"//example.com/repo.git":             -6.861902,
+		"//github.com/yarnpkg/example":       -9.259797,
+		"/Foo":                               -9.259797,
+		"/List":                              -7.650359,
+		"/some/path":                         -6.774890,
+		"1":                                  -8.161185,
+		"2":                                  -9.259797,
+		"5":                                  -7.873503,
+		"7":                                  -9.259797,
+		":":                                  -3.173022,
+		";":                                  -2.650448,
+		"<":                                  -5.794061,
+		"<$>":                                -7.873503,
+		"<)>":                                -8.566650,
+		"<*>":                                -7.180355,
+		"</>":                                -5.927592,
+		"</Exp>":                             -9.259797,
+		"</Foo>":                             -7.062572,
+		"</LotsOfArguments>":                 -9.259797,
+		"</Much>":                            -8.566650,
+		"</Namespace.Foo>":                   -8.566650,
+		"</One>":                             -9.259797,
+		"</Sibling>":                         -9.259797,
+		"</So>":                              -7.873503,
+		"</Text>":                            -8.566650,
+		"</span>":                            -9.259797,
+		"</tagOne>":                          -9.259797,
+		"</tagTwo>":                          -9.259797,
+		"<Bar>":                              -6.551747,
+		"<Exp>":                              -9.259797,
+		"<Foo>":                              -4.915992,
+		"<LotsOfArguments>":                  -9.259797,
+		"<Much>":                             -7.650359,
+		"<Namespace.Foo>":                    -7.180355,
+		"<Nesting>":                          -8.566650,
+		"<One>":                              -8.566650,
+		"<Pun>":                              -9.259797,
+		"<Sibling>":                          -9.259797,
+		"<So>":                               -7.873503,
+		"<Test>":                             -9.259797,
+		"<Text>":                             -8.566650,
+		"<Two>":                              -9.259797,
+		"<\\/)>":                             -9.259797,
+		"<\\/>":                              -9.259797,
+		"<a>":                                -7.313887,
+		"<b>":                                -7.313887,
+		"<div>":                              -9.259797,
+		"<span>":                             -9.259797,
+		"<tagOne>":                           -7.873503,
+		"<tagTwo>":                           -7.873503,
+		"<v>":                                -7.180355,
+		"<|>":                                -6.694848,
+		"=":                                  -2.505193,
+		">":                                  -3.419155,
+		"?":                                  -5.596235,
+		"@@":                                 -8.566650,
+		"@@@autoFormat":                      -9.259797,
+		"@@@thisIsAThing":                    -9.259797,
+		"@JSX":                               -8.161185,
+		"@deriving":                          -9.259797,
+		"@foo":                               -9.259797,
+		"@lookAtThisAttribute":               -9.259797,
+		"@warning":                           -8.566650,
+		"A":                                  -7.468038,
+		"App":                                -6.774890,
+		"Archive":                            -6.957212,
+		"Atom.Config.get":                    -8.161185,
+		"Atom.Env.setEnvVar":                 -9.259797,
+		"Atom.JsonValue.unsafeExtractString": -8.566650,
+		"B":                                  -7.468038,
+		"Bar":                                -9.259797,
+		"BasicStructures.run":                -9.259797,
+		"Black":                              -6.551747,
+		"Bytes.create":                       -9.259797,
+		"Bytes.set":                          -9.259797,
+		"Bytes.unsafe_to_string":             -9.259797,
+		"C":                                  -7.650359,
+		"Char.chr":                           -9.259797,
+		"Char.escaped":                       -9.259797,
+		"Checksum.parser":                    -9.259797,
+		"Checksum.show":                      -9.259797,
+		"Checksum.t":                         -9.259797,
+		"Clo":                                -5.892501,
+		"Clo.Clo":                            -9.259797,
+		"Clo.from":                           -8.566650,
+		"Clo.t":                              -8.566650,
+		"Cmp":                                -6.315358,
+		"CssAlignAuto":                       -9.259797,
+		"CssAlignCenter":                     -8.161185,
+		"CssAlignFlexEnd":                    -8.566650,
+		"CssAlignFlexStart":                  -8.566650,
+		"CssAlignStretch":                    -8.161185,
+		"CssFlexDirectionColumn":             -7.180355,
+		"CssFlexDirectionRow":                -6.957212,
+		"CssMeasureModeAtMost":               -8.566650,
+		"CssMeasureModeExactly":              -7.313887,
+		"CssMeasureModeUndefined":            -6.957212,
+		"CssPositionAbsolute":                -9.259797,
+		"CssPositionRelative":                -8.566650,
+		"D":                                  -8.161185,
+		"D.x":                                -9.259797,
+		"D.y":                                -9.259797,
+		"Delim":                              -9.259797,
+		"Delim.pp":                           -7.468038,
+		"Dist":                               -7.650359,
+		"Dist.local":                         -9.259797,
+		"DistPath.ofPath":                    -9.259797,
+		"DistPath.show":                      -8.566650,
+		"Dot":                                -6.861902,
+		"Endo":                               -9.259797,
+		"Env":                                -9.259797,
+		"Env.used":                           -8.566650,
+		"Error":                              -9.259797,
+		"Esy":                                -7.873503,
+		"Exp":                                -9.259797,
+		"Fmt.pf":                             -9.259797,
+		"Foo":                                -7.650359,
+		"Foo.createElement":                  -9.259797,
+		"Format":                             -9.259797,
+		"Git":                                -6.264065,
+		"Github":                             -6.215275,
+		"Green":                              -6.861902,
+		"Halt":                               -7.873503,
+		"Id":                                 -6.861902,
+		"Invalid_argument":                   -8.566650,
+		"Js.Unsafe.any":                      -9.259797,
+		"Js.Unsafe.fun_call":                 -9.259797,
+		"Js.Unsafe.inject":                   -5.546225,
+		"Js.Unsafe.js_expr":                  -9.259797,
+		"Js.Unsafe.obj":                      -9.259797,
+		"Js.array":                           -7.062572,
+		"Js.number_of_float":                 -9.259797,
+		"Js.string":                          -5.858600,
+		"Js.to_string":                       -9.259797,
+		"Js.wrap_callback":                   -8.566650,
+		"JsonString":                         -8.566650,
+		"Lam":                                -7.062572,
+		"LayoutPrint.printCssNode":           -9.259797,
+		"Link":                               -9.259797,
+		"List":                               -7.180355,
+		"List.iteri":                         -9.259797,
+		"List.length":                        -9.259797,
+		"List.nth":                           -9.259797,
+		"LocalPath":                          -6.040921,
+		"LotsOfArguments":                    -9.259797,
+		"M_RK__G.Types.instance":             -9.259797,
+		"M_RK__Gesture.Types.instance":       -8.566650,
+		"Machine":                            -9.259797,
+		"Machine.norm":                       -9.259797,
+		"ManifestSpec.ofString":              -9.259797,
+		"ManifestSpec.parser":                -9.259797,
+		"ManifestSpec.show":                  -7.650359,
+		"ManifestSpec.t":                     -8.566650,
+		"Map":                                -9.259797,
+		"Map.Make":                           -9.259797,
+		"Modules.run":                        -9.259797,
+		"Much":                               -9.259797,
+		"Name":                               -9.259797,
+		"Name.gen":                           -9.259797,
+		"Name.t":                             -8.566650,
+		"Namespace":                          -9.259797,
+		"Nesting":                            -9.259797,
+		"NoSource":                           -7.873503,
+		"None":                               -5.892501,
+		"Not_found":                          -9.259797,
+		"Ocamlmerlin":                        -8.161185,
+		"Ok":                                 -9.259797,
+		"One":                                -9.259797,
+		"Opam":                               -6.215275,
+		"Parse":                              -8.566650,
+		"Parse.":                             -8.566650,
+		"Parse.Test.parse":                   -9.259797,
+		"Parse.source":                       -9.259797,
+		"Path.":                              -8.566650,
+		"Path.basename":                      -9.259797,
+		"Polymorphism.run":                   -9.259797,
+		"Prec":                               -9.259797,
+		"Prec.calc":                          -8.566650,
+		"Pretty":                             -9.259797,
+		"Pretty.Clo.pp":                      -8.566650,
+		"Pretty.Env.mk":                      -8.161185,
+		"Pretty.Term.pp":                     -9.259797,
+		"Pretty.Zip.pp":                      -9.259797,
+		"Printf.printf":                      -7.062572,
+		"Printf.sprintf":                     -6.774890,
+		"Pun":                                -9.259797,
+		"Red":                                -6.694848,
+		"Run":                                -9.259797,
+		"Set":                                -9.259797,
+		"Set.Make":                           -9.259797,
+		"Sexp_conv":                          -9.259797,
+		"Sexplib":                            -9.259797,
+		"Sha":                                -8.566650,
+		"Shift":                              -7.180355,
+		"Sibling":                            -9.259797,
+		"So":                                 -9.259797,
+		"Some":                               -5.963960,
+		"Source.t":                           -9.259797,
+		"Stream.from":                        -9.259797,
+		"Stream.next":                        -9.259797,
+		"Stream.t":                           -9.259797,
+		"String":                             -9.259797,
+		"Style":                              -7.873503,
+		"Sub":                                -9.259797,
+		"Sub.apply":                          -9.259797,
+		"Sub.map":                            -9.259797,
+		"Sub.pp":                             -9.259797,
+		"Sub.t":                              -9.259797,
+		"Syntax":                             -8.566650,
+		"Syntax.Sub":                         -7.873503,
+		"Syntax.Term":                        -7.650359,
+		"TGRecognizer.tGFields":              -9.259797,
+		"TGRecognizer.tGMethods":             -9.259797,
+		"TGRecognizerFinal.tGFields":         -9.259797,
+		"TGRecognizerFinal.tGMethods":        -9.259797,
+		"Term":                               -9.259797,
+		"Term.App":                           -7.873503,
+		"Term.Lam":                           -8.161185,
+		"Term.Var":                           -7.180355,
+		"Term.pp":                            -9.259797,
+		"Term.t":                             -9.259797,
+		"Test":                               -8.566650,
+		"Test.init":                          -9.259797,
+		"TestUtils.printSection":             -9.259797,
+		"Text":                               -9.259797,
+		"TryToExportTwice":                   -9.259797,
+		"Two":                                -9.259797,
+		"Unexpected":                         -9.259797,
+		"Var":                                -5.794061,
+		"Variants.run":                       -9.259797,
+		"X":                                  -7.468038,
+		"Zip":                                -8.161185,
+		"Zip.App":                            -8.566650,
+		"Zip.Halt":                           -9.259797,
+		"Zip.Lam":                            -9.259797,
+		"Zip.apply":                          -9.259797,
+		"Zip.map":                            -9.259797,
+		"Zip.t":                              -9.259797,
+		"[":                                  -5.475607,
+		"]":                                  -5.475607,
+		"^":                                  -7.873503,
+		"_":                                  -5.570918,
+		"`":                                  -7.062572,
+		"a":                                  -4.782460,
+		"a=":                                 -7.062572,
+		"aTypeAnnotation":                    -8.566650,
+		"abc":                                -8.566650,
+		"acc":                                -7.180355,
+		"add":                                -9.259797,
+		"addPoints":                          -9.259797,
+		"addThreeNumbers":                    -8.566650,
+		"addThreeNumbersTupled":              -8.566650,
+		"addTwoNumbers":                      -8.566650,
+		"adders":                             -9.259797,
+		"age":                                -7.873503,
+		"aliasedToThisVar":                   -8.566650,
+		"alignContent":                       -7.873503,
+		"alignItem":                          -7.873503,
+		"allParensCanBeRemoved":              -9.259797,
+		"an":                                 -9.259797,
+		"and":                                -9.259797,
+		"andNotFunctionInvocations":          -9.259797,
+		"andOtherArg":                        -9.259797,
+		"annotatedResult":                    -9.259797,
+		"annotatedSpreadRecord":              -8.566650,
+		"anotherArg":                         -7.650359,
+		"anotherOptional":                    -9.259797,
+		"anotherOptional=":                   -7.468038,
+		"any_char":                           -9.259797,
+		"apply":                              -6.861902,
+		"archive":                            -8.566650,
+		"arg":                                -8.566650,
+		"argOne":                             -9.259797,
+		"argument":                           -6.620740,
+		"argument1=":                         -8.566650,
+		"argument2=":                         -9.259797,
+		"argument3=":                         -9.259797,
+		"argument4=":                         -9.259797,
+		"argument5=":                         -9.259797,
+		"argument6=":                         -9.259797,
+		"as":                                 -9.259797,
+		"asd":                                -9.259797,
+		"atomReasonMerlinFlags":              -8.566650,
+		"atomReasonMerlinLogFile":            -8.566650,
+		"atomReasonPathToMerlin":             -8.566650,
+		"availableHeight":                    -6.620740,
+		"availableInnerCrossDim":             -8.161185,
+		"availableWidth":                     -6.620740,
+		"b":                                  -5.733436,
+		"b=":                                 -7.468038,
+		"beginAtFilePath":                    -9.259797,
+		"bind":                               -9.259797,
+		"blah":                               -6.315358,
+		"blahBlah":                           -7.873503,
+		"blahCurriedX":                       -7.873503,
+		"bool":                               -9.259797,
+		"boundAxis":                          -8.566650,
+		"butTheyWillBePrintedWithAppropriateSpacing": -9.259797,
+		"butWeWillPrint":           -9.259797,
+		"c":                        -6.081743,
+		"c=":                       -9.259797,
+		"cachedMeasurementAt":      -8.161185,
+		"cachedMeasurementAtIndex": -7.650359,
+		"cachedMeasurementAtIndex.availableHeight":   -9.259797,
+		"cachedMeasurementAtIndex.availableWidth":    -9.259797,
+		"cachedMeasurementAtIndex.heightMeasureMode": -9.259797,
+		"cachedMeasurementAtIndex.widthMeasureMode":  -9.259797,
+		"cachedResults.contents":                     -7.180355,
+		"cachedResults_":                             -9.259797,
+		"cachedResults_.computedHeight":              -8.566650,
+		"cachedResults_.computedWidth":               -8.566650,
+		"calc":                                       -9.259797,
+		"callSomeFunction":                           -9.259797,
+		"canUseCachedMeasurement":                    -8.566650,
+		"char":                                       -7.180355,
+		"checksum":                                   -6.487208,
+		"child":                                      -9.259797,
+		"child.contents":                             -5.622211,
+		"child.contents.layout.computedFlexBasis":    -7.873503,
+		"child.contents.layout.measuredHeight":       -9.259797,
+		"child.contents.layout.measuredWidth":        -9.259797,
+		"child.contents.lineIndex":                   -9.259797,
+		"child.contents.style.flexBasis":             -8.566650,
+		"child.contents.style.height":                -9.259797,
+		"child.contents.style.positionType":          -8.161185,
+		"child.contents.style.width":                 -8.566650,
+		"childCount":                                 -9.259797,
+		"childHeight":                                -7.873503,
+		"childHeight.contents":                       -7.650359,
+		"childHeightMeasureMode":                     -8.566650,
+		"childHeightMeasureMode.contents":            -8.161185,
+		"childWidth":                                 -8.566650,
+		"childWidth.contents":                        -7.468038,
+		"childWidthMeasureMode":                      -8.566650,
+		"childWidthMeasureMode.contents":             -7.873503,
+		"child_process":                              -9.259797,
+		"children":                                   -5.963960,
+		"class":                                      -9.259797,
+		"classWithNoArg":                             -9.259797,
+		"clo":                                        -5.858600,
+		"close":                                      -9.259797,
+		"closed.":                                    -9.259797,
+		"cmd":                                        -7.650359,
+		"code":                                       -8.566650,
+		"collectString":                              -8.566650,
+		"colors":                                     -9.259797,
+		"commit":                                     -7.873503,
+		"compare":                                    -7.873503,
+		"component":                                  -8.566650,
+		"computeChildFlexBasis":                      -9.259797,
+		"const":                                      -9.259797,
+		"constraintedSequenceItem":                   -9.259797,
+		"containerCrossAxis.contents":                -9.259797,
+		"contents":                                   -6.264065,
+		"contextify":                                 -8.161185,
+		"count":                                      -8.161185,
+		"cr":                                         -8.566650,
+		"createElement":                              -6.369425,
+		"createElementobvioustypo":                   -9.259797,
+		"createMerlinReaderFnOnce":                   -8.566650,
+		"crossAxis":                                  -6.168755,
+		"crossDim.contents":                          -8.161185,
+		"crossDimLead":                               -9.259797,
+		"crossDimLead.contents":                      -8.566650,
+		"cssUndefined":                               -8.566650,
+		"css_max_cached_result_count":                -9.259797,
+		"ctx":                                        -6.001700,
+		"currentLead":                                -9.259797,
+		"currentLead.contents":                       -6.957212,
+		"curriedArg":                                 -7.650359,
+		"d":                                          -8.566650,
+		"data":                                       -9.259797,
+		"dateHired":                                  -9.259797,
+		"desiredFormattingForWrappedLambda":          -9.259797,
+		"desiredFormattingForWrappedLambdaReturnOnNewLine": -9.259797,
+		"desiredFormattingForWrappedLambdaWrappedArrow":    -9.259797,
+		"desiredFormattingForWrappedSugar":                 -9.259797,
+		"desiredFormattingForWrappedSugarReturnOnNewLine":  -9.259797,
+		"destruct":                            -9.259797,
+		"developmentHabbits":                  -8.566650,
+		"direction":                           -8.161185,
+		"displayName":                         -6.215275,
+		"div":                                 -8.161185,
+		"divideScalarByInt":                   -7.873503,
+		"dontKnowWheYoudWantToActuallyDoThis": -9.259797,
+		"dotMerlinPath":                       -8.566650,
+		"dummyMeasure":                        -9.259797,
+		"e":                                   -5.270813,
+		"eachItemInListCanBeAnnotated":        -9.259797,
+		"elem":                                -7.873503,
+		"else":                                -6.215275,
+		"endIndex":                            -9.259797,
+		"endIndex.contents":                   -8.161185,
+		"endOfLineIndex.contents":             -8.566650,
+		"endPosition":                         -8.161185,
+		"entire":                              -9.259797,
+		"env":                                 -6.315358,
+		"error":                               -8.566650,
+		"exception":                           -9.259797,
+		"expect":                              -5.453134,
+		"expect_test":                         -5.453134,
+		"explictlyPassed":                     -8.566650,
+		"explictlyPassedAnnotated":            -8.566650,
+		"extension":                           -8.161185,
+		"external":                            -9.259797,
+		"f":                                   -7.313887,
+		"failure":                             -9.259797,
+		"failwith":                            -8.566650,
+		"false":                               -7.313887,
+		"ff":                                  -9.259797,
+		"file":                                -8.566650,
+		"findNearestMerlinFile":               -8.566650,
+		"first":                               -8.161185,
+		"firstNamedArgNeedsParens":            -9.259797,
+		"firstNamedArgShouldBeGroupedInParensAndSecondNamedArg": -9.259797,
+		"firstTwoShouldBeGroupedAndFirstThree":                  -9.259797,
+		"fix":                                                   -8.566650,
+		"fixedEnv":                                              -8.566650,
+		"float":                                                 -8.566650,
+		"fmaxf":                                                 -7.650359,
+		"fmt":                                                   -6.168755,
+		"foo":                                                   -7.062572,
+		"foo=":                                                  -7.873503,
+		"for":                                                   -7.650359,
+		"format":                                                -9.259797,
+		"foundCached":                                           -8.566650,
+		"foundCached.contents":                                  -7.873503,
+		"fprintf":                                               -6.551747,
+		"fragment":                                              -6.426584,
+		"from":                                                  -7.650359,
+		"fs":                                                    -9.259797,
+		"ftp":                                                   -9.259797,
+		"fun":                                                   -6.081743,
+		"gCurrentGenerationCount.contents":                      -8.161185,
+		"gDepth.contents":                                       -7.180355,
+		"gPrintChanges.contents":                                -7.873503,
+		"gPrintSkips.contents":                                  -9.259797,
+		"gPrintTree.contents":                                   -9.259797,
+		"gen":                                                   -9.259797,
+		"getAlignItem":                                          -8.566650,
+		"getAutoCompleteSuggestions":                            -9.259797,
+		"getDiagnostics":                                        -9.259797,
+		"getDimWithMargin":                                      -9.259797,
+		"getLeadingBorder":                                      -9.259797,
+		"getLeadingMargin":                                      -7.873503,
+		"getLeadingPositionWithFallback":                        -9.259797,
+		"getMarginAxis":                                         -7.180355,
+		"getModeName":                                           -7.468038,
+		"getOccurrences":                                        -9.259797,
+		"getOutline":                                            -9.259797,
+		"getPaddingAndBorderAxis":                               -8.161185,
+		"getSpacer":                                             -8.161185,
+		"getTrailingMargin":                                     -9.259797,
+		"getTypeHint":                                           -9.259797,
+		"git":                                                   -7.468038,
+		"gitWithProto":                                          -8.566650,
+		"github":                                                -8.566650,
+		"githubWithoutProto":                                    -8.161185,
+		"go":                                                    -6.620740,
+		"halted":                                                -8.566650,
+		"happened":                                              -9.259797,
+		"hasA":                                                  -9.259797,
+		"height":                                                -9.259797,
+		"heightMeasureMode":                                     -6.861902,
+		"heightMode":                                            -9.259797,
+		"heresAFunctionWithNamedArgs":                           -9.259797,
+		"hiredPerson":                                           -9.259797,
+		"http":                                                  -8.161185,
+		"https":                                                 -7.468038,
+		"i":                                                     -6.264065,
+		"ident":                                                 -9.259797,
+		"if":                                                    -5.388596,
+		"in":                                                    -7.650359,
+		"include":                                               -9.259797,
+		"incr":                                                  -9.259797,
+		"index":                                                 -8.161185,
+		"init":                                                  -9.259797,
+		"initialString":                                         -9.259797,
+		"innerOne":                                              -8.566650,
+		"innerTwo":                                              -8.566650,
+		"int":                                                   -4.890349,
+		"intended":                                              -7.468038,
+		"intended=":                                             -7.468038,
+		"into":                                                  -8.566650,
+		"isCrossSizeDefinite":                                   -9.259797,
+		"isCrossSizeDefinite.contents":                          -8.161185,
+		"isLayoutDimDefined":                                    -9.259797,
+		"isLeadingPosDefinedWithFallback":                       -9.259797,
+		"isMainAxisRow":                                         -7.873503,
+		"isRowDirection":                                        -9.259797,
+		"isStyleDimDefined":                                     -7.313887,
+		"isUndefined":                                           -7.313887,
+		"j":                                                     -8.161185,
+		"j.contents":                                            -7.650359,
+		"jsxInFnCall":                                           -9.259797,
+		"jsxInList":                                             -7.180355,
+		"jsxInListA":                                            -9.259797,
+		"jsxInListB":                                            -9.259797,
+		"jsxInListC":                                            -9.259797,
+		"jsxInListD":                                            -9.259797,
+		"kind":                                                  -9.259797,
+		"l":                                                     -6.040921,
+		"lastArg":                                               -7.650359,
+		"layout":                                                -8.161185,
+		"layout.cachedLayout":                                   -7.873503,
+		"layout.cachedLayout.availableHeight":                   -9.259797,
+		"layout.cachedLayout.availableWidth":                    -9.259797,
+		"layout.cachedLayout.heightMeasureMode":                 -9.259797,
+		"layout.cachedLayout.widthMeasureMode":                  -9.259797,
+		"layout.generationCount":                                -9.259797,
+		"layout.hasNewLayout":                                   -9.259797,
+		"layout.lastParentDirection":                            -9.259797,
+		"layout.measuredHeight":                                 -8.161185,
+		"layout.measuredWidth":                                  -8.161185,
+		"layout.nextCachedMeasurementsIndex":                    -7.313887,
+		"layoutMeasuredDimensionForAxis":                        -8.161185,
+		"layoutNodeImpl":                                        -9.259797,
+		"layoutNodeInternal":                                    -8.566650,
+		"layoutPosPositionForAxis":                              -9.259797,
+		"leadingCrossDim":                                       -9.259797,
+		"leadingCrossDim.contents":                              -7.650359,
+		"leadingPaddingAndBorderCross":                          -8.161185,
+		"let":                                                   -3.139500,
+		"line":                                                  -9.259797,
+		"lineCount.contents":                                    -7.650359,
+		"lineHeight":                                            -9.259797,
+		"lineHeight.contents":                                   -7.313887,
+		"list":                                                  -8.161185,
+		"listOfItems":                                           -8.161185,
+		"locate":                                                -9.259797,
+		"long":                                                  -9.259797,
+		"longWrappingTypeDefinitionExample":                     -9.259797,
+		"longerInt":                                             -7.873503,
+		"lookES":                                                -7.873503,
+		"lookTuplesRequireParens":                               -9.259797,
+		"lotsOfArguments":                                       -9.259797,
+		"lowerCase":                                             -9.259797,
+		"lwt.opam":                                              -6.426584,
+		"mainAxis":                                              -8.161185,
+		"mainDim.contents":                                      -9.259797,
+		"make":                                                  -6.487208,
+		"makePath":                                              -8.566650,
+		"manifest":                                              -4.771161,
+		"manifestFilenameBeforeSharp":                           -8.161185,
+		"many_till":                                             -9.259797,
+		"map":                                                   -8.566650,
+		"marginAxisColumn":                                      -7.873503,
+		"marginAxisRow":                                         -7.873503,
+		"match":                                                 -8.566650,
+		"maxLineMainDim.contents":                               -8.566650,
+		"maybe":                                                 -7.650359,
+		"merlinFlags":                                           -8.566650,
+		"method":                                                -8.566650,
+		"mk":                                                    -9.259797,
+		"mod":                                                   -8.566650,
+		"module":                                                -5.596235,
+		"myAnnotatedValBinding":                                 -9.259797,
+		"myFun":                                                 -8.161185,
+		"myOptional":                                            -7.873503,
+		"myRecord":                                              -9.259797,
+		"myRecord.nameBlah":                                     -9.259797,
+		"myRecordName":                                          -9.259797,
+		"myRecordWithFunctions":                                 -9.259797,
+		"myRecordWithFunctions.addThreeNumbers":                 -9.259797,
+		"myRecordWithFunctions.addThreeNumbersTupled": -9.259797,
+		"myVal":                           -9.259797,
+		"n":                               -6.861902,
+		"name":                            -7.062572,
+		"nameBlah":                        -6.774890,
+		"nameBlahType":                    -7.650359,
+		"named":                           -8.161185,
+		"namespace":                       -9.259797,
+		"needToVisitNode":                 -7.873503,
+		"nestedLet":                       -7.873503,
+		"newCacheEntry":                   -9.259797,
+		"newCacheEntry.availableHeight":   -9.259797,
+		"newCacheEntry.availableWidth":    -9.259797,
+		"newCacheEntry.computedHeight":    -9.259797,
+		"newCacheEntry.computedWidth":     -9.259797,
+		"newCacheEntry.heightMeasureMode": -9.259797,
+		"newCacheEntry.widthMeasureMode":  -9.259797,
+		"newCacheEntry_":                  -8.566650,
+		"newRecord":                       -7.650359,
+		"next":                            -6.694848,
+		"noParens":                        -9.259797,
+		"noParensNeeded":                  -9.259797,
+		"node":                            -6.487208,
+		"node.children.":                  -8.161185,
+		"node.childrenCount":              -9.259797,
+		"node.context":                    -8.161185,
+		"node.layout.direction":           -9.259797,
+		"node.layout.height":              -9.259797,
+		"node.layout.measuredHeight":      -8.566650,
+		"node.layout.measuredWidth":       -8.566650,
+		"node.layout.width":               -9.259797,
+		"node.measure":                    -9.259797,
+		"node.print":                      -8.161185,
+		"node.style.alignContent":         -9.259797,
+		"node.style.flexDirection":        -9.259797,
+		"node.style.height":               -9.259797,
+		"node.style.maxHeight":            -8.566650,
+		"node.style.maxWidth":             -8.566650,
+		"node.style.width":                -9.259797,
+		"nonrec":                          -8.566650,
+		"norm":                            -9.259797,
+		"normalizeAndRemoveEmptySeg":      -9.259797,
+		"not":                             -6.957212,
+		"o":                               -8.566650,
+		"ocamlmerlin":                     -9.259797,
+		"ofSource":                        -9.259797,
+		"offset":                          -8.566650,
+		"one":                             -7.650359,
+		"oneArg":                          -7.873503,
+		"onelineConstrain":                -9.259797,
+		"onlyDoingThisTopLevelLetToBypassTopLevelSequence": -9.259797,
+		"opam":                       -7.873503,
+		"open":                       -6.426584,
+		"option":                     -7.468038,
+		"ord":                        -9.259797,
+		"outerOne":                   -8.161185,
+		"outerTwo":                   -8.161185,
+		"output":                     -9.259797,
+		"p":                          -8.566650,
+		"p.name":                     -8.566650,
+		"package.git":                -9.259797,
+		"package.json":               -7.873503,
+		"parent":                     -9.259797,
+		"parentDirection":            -8.161185,
+		"parse":                      -5.367977,
+		"parser":                     -9.259797,
+		"path":                       -4.817146,
+		"pathLike":                   -8.161185,
+		"pathToMerlin":               -8.566650,
+		"pathWithoutProto":           -8.161185,
+		"peek_char_fail":             -9.259797,
+		"performLayout":              -6.694848,
+		"person":                     -7.650359,
+		"pi":                         -7.873503,
+		"point":                      -8.161185,
+		"position":                   -6.774890,
+		"positionToJsMerlinPosition": -7.468038,
+		"pp":                         -6.315358,
+		"pp_elem":                    -6.861902,
+		"pp_print_string":            -8.566650,
+		"prefix":                     -7.313887,
+		"prepareCommand":             -7.180355,
+		"prev":                       -6.215275,
+		"prime":                      -8.566650,
+		"printChildren":              -9.259797,
+		"printLayout":                -9.259797,
+		"printPerson":                -9.259797,
+		"printPoint":                 -9.259797,
+		"printStyle":                 -9.259797,
+		"print_int":                  -6.551747,
+		"print_string":               -7.650359,
+		"printer":                    -7.313887,
+		"proto":                      -6.957212,
+		"punning":                    -9.259797,
+		"q":                          -9.259797,
+		"query":                      -6.694848,
+		"raise":                      -8.161185,
+		"readOneLine":                -8.161185,
+		"readerFn":                   -7.650359,
+		"readline":                   -9.259797,
+		"reason":                     -8.161185,
+		"rec":                        -6.957212,
+		"recordVal":                  -8.566650,
+		"ref":                        -4.997117,
+		"reject":                     -6.426584,
+		"remEmptySeg":                -9.259797,
+		"remainingAlignContentDim":   -7.873503,
+		"remainingCrossDim":          -8.161185,
+		"remote":                     -5.927592,
+		"repo":                       -5.546225,
+		"res":                        -7.468038,
+		"resAnnotated":               -8.566650,
+		"resolve":                    -6.426584,
+		"resolveAxis":                -9.259797,
+		"rest":                       -7.650359,
+		"result":                     -8.161185,
+		"returned":                   -9.259797,
+		"returnsASequenceExpressionWithASingleIdentifier": -9.259797,
+		"rho":                                   -7.468038,
+		"rsync":                                 -9.259797,
+		"rule":                                  -6.694848,
+		"s":                                     -7.650359,
+		"sameThingInLocal":                      -9.259797,
+		"scalarToString":                        -7.180355,
+		"script":                                -8.566650,
+		"second":                                -8.566650,
+		"selfClosing":                           -8.161185,
+		"semiLongWrappingTypeDefinitionExample": -9.259797,
+		"semiLongWrappingTypeWithConstraint":    -9.259797,
+		"setLayoutLeadingPositionForAxis":       -7.313887,
+		"setPosition":                           -9.259797,
+		"sexp_of":                               -8.566650,
+		"sexp_of_t":                             -9.259797,
+		"sgm":                                   -5.388596,
+		"shift":                                 -9.259797,
+		"should":                                -9.259797,
+		"shouldContinue":                        -9.259797,
+		"shouldContinue.contents":               -8.566650,
+		"show":                                  -8.161185,
+		"siblingNotSpaced":                      -9.259797,
+		"soAsToInstill":                         -9.259797,
+		"soAsToInstillBestDevelopmentPractices": -9.259797,
+		"some/path":                             -7.873503,
+		"someRec":                               -7.873503,
+		"something":                             -7.180355,
+		"source":                                -7.873503,
+		"spaceBefore":                           -8.566650,
+		"spec":                                  -8.566650,
+		"src":                                   -7.873503,
+		"ssh":                                   -9.259797,
+		"startIndex":                            -8.161185,
+		"startMerlinProcess":                    -8.566650,
+		"startOfLineIndex.contents":             -8.566650,
+		"startPosition":                         -8.161185,
+		"startedMerlin":                         -9.259797,
+		"startedMerlin.contents":                -8.161185,
+		"state":                                 -6.551747,
+		"state.clo":                             -9.259797,
+		"state.ctx":                             -9.259797,
+		"std_formatter":                         -8.161185,
+		"step":                                  -8.566650,
+		"stretchString":                         -9.259797,
+		"string":                                -5.858600,
+		"string_of_int":                         -9.259797,
+		"succ":                                  -7.650359,
+		"suffix":                                -7.873503,
+		"switch":                                -6.426584,
+		"t":                                     -6.487208,
+		"tag":                                   -7.873503,
+		"tagOne":                                -9.259797,
+		"tagTwo":                                -9.259797,
+		"take_while":                            -7.313887,
+		"term":                                  -8.566650,
+		"test":                                  -7.313887,
+		"test=":                                 -8.161185,
+		"testFunc":                              -8.566650,
+		"test_module":                           -9.259797,
+		"text":                                  -5.858600,
+		"that":                                  -9.259797,
+		"the":                                   -9.259797,
+		"them":                                  -8.566650,
+		"themAsSpaceSeparated":                  -9.259797,
+		"thing":                                 -7.468038,
+		"third":                                 -9.259797,
+		"thisIsANamedArg":                       -8.566650,
+		"thisIsAlsoOkay":                        -9.259797,
+		"thisIsOkay":                            -9.259797,
+		"thisIsRight":                           -8.161185,
+		"thisReturnsA":                          -8.566650,
+		"thisReturnsAAsWell":                    -9.259797,
+		"thisReturnsARecord":                    -9.259797,
+		"three":                                 -9.259797,
+		"till":                                  -9.259797,
+		"to":                                    -7.468038,
+		"toInfluenceYour":                       -9.259797,
+		"to_yojson":                             -9.259797,
+		"token":                                 -8.566650,
+		"totalLineCrossDim.contents":            -7.650359,
+		"true":                                  -6.694848,
+		"try":                                   -9.259797,
+		"tt":                                    -9.259797,
+		"tupleConstraints":                      -9.259797,
+		"tupleInsideALetSequence":               -9.259797,
+		"tupleInsideAParenSequence":             -9.259797,
+		"two":                                   -7.650359,
+		"ty":                                    -9.259797,
+		"type":                                  -5.704449,
+		"typeAnnotation":                        -9.259797,
+		"typeConstraints":                       -9.259797,
+		"typeWithNestedNamedArgs":               -9.259797,
+		"typeWithNestedOptionalNamedArgs":       -8.566650,
+		"unit":                                  -7.313887,
+		"unitVal":                               -9.259797,
+		"url":                                   -6.487208,
+		"used":                                  -7.650359,
+		"user":                                  -5.546225,
+		"v":                                     -8.161185,
+		"values":                                -9.259797,
+		"video":                                 -8.566650,
+		"while":                                 -8.566650,
+		"width":                                 -7.873503,
+		"widthMeasureMode":                      -6.861902,
+		"widthMode":                             -9.259797,
+		"with":                                  -8.566650,
+		"withArg":                               -9.259797,
+		"wrap":                                  -8.566650,
+		"wrappedArg":                            -9.259797,
+		"wrong":                                 -9.259797,
+		"x":                                     -5.289505,
+		"x.contents":                            -9.259797,
+		"xs":                                    -8.161185,
+		"y":                                     -7.650359,
+		"yarn":                                  -9.259797,
+		"yo":                                    -8.566650,
+		"yo=":                                   -9.259797,
+		"youCanEvenCallMethodsHereAndAnnotate":  -8.566650,
+		"zero":                                  -6.957212,
+		"zip":                                   -6.264065,
+		"{":                                     -3.303960,
+		"|":                                     -3.621442,
+		"||":                                    -9.259797,
+		"}":                                     -3.298792,
+		"~":                                     -8.566650,
 	},
 	"Rebol": map[string]float64{
 		"!":                                 -3.408070,
@@ -134847,5702 +140821,4723 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                 -3.571543,
 	},
 	"Roff": map[string]float64{
-		"\b":                                   -5.340506,
-		"\x0e":                                 -5.882103,
-		"\x0f":                                 -5.882103,
-		"\x1b":                                 -7.961545,
-		"!":                                    -5.980543,
-		"#":                                    -7.961545,
-		"##":                                   -9.347839,
-		"#define":                              -6.862932,
-		"#x":                                   -8.654692,
-		"#xA":                                  -9.347839,
-		"$":                                    -6.639789,
-		"%":                                    -7.401929,
-		"&":                                    -5.287396,
-		"'":                                    -7.556079,
-		"(":                                    -3.927304,
-		")":                                    -4.297983,
-		"*":                                    -5.340506,
-		"*/":                                   -9.347839,
-		"*D":                                   -8.654692,
-		"*F":                                   -9.347839,
-		"*G":                                   -9.347839,
-		"*L":                                   -9.347839,
-		"*P":                                   -9.347839,
-		"*Q":                                   -9.347839,
-		"*S":                                   -9.347839,
-		"*T":                                   -9.347839,
-		"*W":                                   -9.347839,
-		"*_":                                   -9.347839,
-		"*a":                                   -9.347839,
-		"*b":                                   -9.347839,
-		"*base":                                -9.347839,
-		"*c":                                   -9.347839,
-		"*compar":                              -9.347839,
-		"*e":                                   -9.347839,
-		"*f":                                   -9.347839,
-		"*format":                              -9.347839,
-		"*g":                                   -9.347839,
-		"*l":                                   -9.347839,
-		"*m":                                   -9.347839,
-		"*n":                                   -9.347839,
-		"*nc_prevdir":                          -7.961545,
-		"*ni_cdir":                             -9.347839,
-		"*ni_cred":                             -9.347839,
-		"*ni_dvp":                              -9.347839,
-		"*ni_ptr":                              -9.347839,
-		"*ni_rdir":                             -9.347839,
-		"*ni_vp":                               -9.347839,
-		"*o":                                   -9.347839,
-		"*p":                                   -9.347839,
-		"*q":                                   -9.347839,
-		"*r":                                   -9.347839,
-		"*s":                                   -9.347839,
-		"*t":                                   -8.654692,
-		"*v_op":                                -9.347839,
-		"*v_text":                              -9.347839,
-		"*v_vfsmountedhere":                    -8.654692,
-		"*v_vfsp":                              -9.347839,
-		"*vfs_vnodecovered":                    -9.347839,
-		"*vn_abortop":                          -9.347839,
-		"*vn_access":                           -9.347839,
-		"*vn_close":                            -9.347839,
-		"*vn_create":                           -9.347839,
-		"*vn_fsync":                            -9.347839,
-		"*vn_getattr":                          -9.347839,
-		"*vn_inactive":                         -9.347839,
-		"*vn_ioctl":                            -9.347839,
-		"*vn_link":                             -9.347839,
-		"*vn_lock":                             -9.347839,
-		"*vn_lookup":                           -9.347839,
-		"*vn_mkdir":                            -9.347839,
-		"*vn_mknod":                            -9.347839,
-		"*vn_mmap":                             -9.347839,
-		"*vn_open":                             -9.347839,
-		"*vn_read":                             -9.347839,
-		"*vn_readdir":                          -9.347839,
-		"*vn_readlink":                         -9.347839,
-		"*vn_remove":                           -9.347839,
-		"*vn_rename":                           -9.347839,
-		"*vn_rmdir":                            -9.347839,
-		"*vn_seek":                             -9.347839,
-		"*vn_select":                           -9.347839,
-		"*vn_setattr":                          -9.347839,
-		"*vn_symlink":                          -9.347839,
-		"*vn_unlock":                           -9.347839,
-		"*vn_write":                            -9.347839,
-		"*y":                                   -9.347839,
-		"*z":                                   -9.347839,
-		"+":                                    -5.143146,
-		",":                                    -3.358877,
-		"-":                                    -4.106092,
-		".":                                    -4.149342,
-		"..":                                   -6.457467,
-		"...":                                  -6.862932,
-		"....":                                 -9.347839,
-		"../man":                               -8.654692,
-		".AB":                                  -9.347839,
-		".AI":                                  -9.347839,
-		".AU":                                  -9.347839,
-		".B":                                   -8.654692,
-		".BR":                                  -9.347839,
-		".CE":                                  -9.347839,
-		".CS":                                  -9.347839,
-		".DE":                                  -7.738401,
-		".DS":                                  -8.249227,
-		".Dd":                                  -9.347839,
-		".El":                                  -9.347839,
-		".F":                                   -8.654692,
-		".FE":                                  -8.654692,
-		".FF":                                  -9.347839,
-		".FS":                                  -8.249227,
-		".I":                                   -9.347839,
-		".ID":                                  -9.347839,
-		".IP":                                  -6.256796,
-		".It":                                  -7.150614,
-		".LP":                                  -7.961545,
-		".NS":                                  -9.347839,
-		".PP":                                  -6.052002,
-		".PQ":                                  -8.249227,
-		".RE":                                  -7.961545,
-		".RS":                                  -8.249227,
-		".SH":                                  -7.738401,
-		".Sh":                                  -7.556079,
-		".TH":                                  -9.347839,
-		".TL":                                  -9.347839,
-		".TP":                                  -6.782890,
-		".UX":                                  -6.862932,
-		".bd":                                  -7.401929,
-		".bp":                                  -7.961545,
-		".br":                                  -6.352107,
-		".c":                                   -8.654692,
-		".ce":                                  -8.249227,
-		".de":                                  -7.556079,
-		".el":                                  -9.347839,
-		".fi":                                  -7.556079,
-		".ft":                                  -7.045254,
-		".g":                                   -9.347839,
-		".he":                                  -7.961545,
-		".i":                                   -9.347839,
-		".ie":                                  -9.347839,
-		".if":                                  -7.738401,
-		".ig":                                  -8.654692,
-		".in":                                  -7.150614,
-		".ip":                                  -7.556079,
-		".it":                                  -5.980543,
-		".li":                                  -7.961545,
-		".lp":                                  -7.738401,
-		".na":                                  -9.347839,
-		".ne":                                  -9.347839,
-		".nf":                                  -7.268397,
-		".nr":                                  -8.654692,
-		".pa":                                  -7.961545,
-		".po":                                  -9.347839,
-		".pp":                                  -8.249227,
-		".s":                                   -5.980543,
-		".sh":                                  -5.270301,
-		".so":                                  -8.654692,
-		".sp":                                  -5.821478,
-		".ta":                                  -7.556079,
-		".tc":                                  -8.654692,
-		".th":                                  -6.862932,
-		".ti":                                  -5.497691,
-		".tr":                                  -7.738401,
-		".ul":                                  -8.654692,
-		".xx":                                  -5.634267,
-		"/":                                    -5.736921,
-		"/*":                                   -9.347839,
-		"/dev/cat":                             -9.347839,
-		"/dev/vt":                              -9.347839,
-		"/etc/as":                              -9.347839,
-		"/etc/suftab":                          -9.347839,
-		"/foo.":                                -9.347839,
-		"/lib/liba.a":                          -9.347839,
-		"/lib/libp.a":                          -9.347839,
-		"/tmp/atm":                             -8.249227,
-		"/tmp/rtm":                             -9.347839,
-		"/usr/games/moo":                       -9.347839,
-		"/usr/man/man":                         -9.347839,
-		":":                                    -6.089742,
-		";":                                    -4.629340,
-		"<":                                    -9.347839,
-		"</a>":                                 -7.961545,
-		"</script>":                            -9.347839,
-		"<`,>":                                 -8.249227,
-		"<a>":                                  -7.961545,
-		"<esr@thyrsus.com>":                    -9.347839,
-		"<https://github.com/Alhadis/Roff.js>": -9.347839,
-		"<https://github.com/mathiasbynens/he/issues>": -9.347839,
-		"<https://mathiasbynens.be/>":                  -9.347839,
-		"<https://mths.be/he>":                         -9.347839,
-		"<newline>":                                    -9.347839,
-		"<script>":                                     -9.347839,
-		"<wl@gnu.org>":                                 -9.347839,
-		"=":                                            -5.435816,
-		">":                                            -8.654692,
-		"?":                                            -7.556079,
-		"@":                                            -7.961545,
-		"A":                                            -5.946642,
-		"ABANDON":                                      -9.347839,
-		"ALL":                                          -9.347839,
-		"ALSO":                                         -7.738401,
-		"AND":                                          -8.249227,
-		"ANY":                                          -8.654692,
-		"ARISING":                                      -9.347839,
-		"AS":                                           -9.347839,
-		"ASCII":                                        -9.347839,
-		"AT":                                           -7.150614,
-		"AUTHOR":                                       -9.347839,
-		"Abbreviation":                                 -9.347839,
-		"Accordingly":                                  -9.347839,
-		"Additionally":                                 -9.347839,
-		"After":                                        -9.347839,
-		"Agreement":                                    -9.347839,
-		"Algebra":                                      -9.347839,
-		"All":                                          -7.738401,
-		"Also":                                         -8.654692,
-		"Although":                                     -7.556079,
-		"An":                                           -8.249227,
-		"Angeles":                                      -8.654692,
-		"Another":                                      -8.654692,
-		"Any":                                          -9.347839,
-		"Applicative":                                  -9.347839,
-		"April":                                        -8.654692,
-		"Arabic":                                       -8.654692,
-		"As":                                           -7.045254,
-		"At":                                           -8.654692,
-		"Audible":                                      -9.347839,
-		"August":                                       -9.347839,
-		"Automatic":                                    -9.347839,
-		"Award":                                        -9.347839,
-		"B":                                            -6.708782,
-		"BEGINS":                                       -9.347839,
-		"BSD":                                          -6.949944,
-		"BSD.":                                         -8.249227,
-		"BUGS":                                         -6.708782,
-		"BUSINESS":                                     -9.347839,
-		"BY":                                           -9.347839,
-		"Babaoglu":                                     -9.347839,
-		"Backslash":                                    -8.654692,
-		"Backspace":                                    -9.347839,
-		"Backus":                                       -9.347839,
-		"Basically":                                    -9.347839,
-		"Be":                                           -9.347839,
-		"Begin":                                        -8.249227,
-		"Berkeley":                                     -7.150614,
-		"Both":                                         -7.961545,
-		"Break":                                        -9.347839,
-		"Brownbridge":                                  -9.347839,
-		"Bynens":                                       -9.347839,
-		"Bytes":                                        -9.347839,
-		"C":                                            -7.150614,
-		"C/A/T":                                        -9.347839,
-		"CAT":                                          -9.347839,
-		"CApture":                                      -9.347839,
-		"CB":                                           -9.347839,
-		"CI":                                           -9.347839,
-		"CLoak":                                        -9.347839,
-		"COMPATIBILITY":                                -9.347839,
-		"CONSEQUENTIAL":                                -9.347839,
-		"CONTRIBUTORS":                                 -9.347839,
-		"CORE":                                         -9.347839,
-		"CR":                                           -9.347839,
-		"CREATE":                                       -9.347839,
-		"California":                                   -7.150614,
-		"California.":                                  -9.347839,
-		"Carnegie":                                     -9.347839,
-		"Carriage":                                     -9.347839,
-		"Cat":                                          -9.347839,
-		"Causes":                                       -9.347839,
-		"Change":                                       -9.347839,
-		"Characters":                                   -9.347839,
-		"Check":                                        -9.347839,
-		"Cole":                                         -9.347839,
-		"Command":                                      -8.654692,
-		"Commands":                                     -9.347839,
-		"Compatibility":                                -9.347839,
-		"Compatible":                                   -9.347839,
-		"Computation":                                  -9.347839,
-		"Computer":                                     -7.738401,
-		"Conference":                                   -9.347839,
-		"Connection":                                   -9.347839,
-		"Consumes":                                     -9.347839,
-		"Control":                                      -9.347839,
-		"Copyright":                                    -9.347839,
-		"Corp.":                                        -9.347839,
-		"Criteria":                                     -9.347839,
-		"D":                                            -7.556079,
-		"DAMAGE.":                                      -9.347839,
-		"DATA":                                         -9.347839,
-		"DAmages":                                      -9.347839,
-		"DEC":                                          -7.738401,
-		"DELTA":                                        -8.654692,
-		"DESCRIPTION":                                  -6.457467,
-		"DESTRUCT":                                     -9.347839,
-		"DIAGNOSTICS":                                  -7.401929,
-		"DIRECT":                                       -9.347839,
-		"DISCLAIMER":                                   -9.347839,
-		"DOck":                                         -9.347839,
-		"Declare":                                      -9.347839,
-		"Department":                                   -9.347839,
-		"Design":                                       -9.347839,
-		"Differences":                                  -9.347839,
-		"Digital":                                      -8.249227,
-		"Division":                                     -8.654692,
-		"Dorab":                                        -8.654692,
-		"DragonFly":                                    -9.347839,
-		"E":                                            -7.738401,
-		"EE":                                           -9.347839,
-		"EXAMPLES":                                     -9.347839,
-		"EXEMPLARY":                                    -9.347839,
-		"Each":                                         -7.150614,
-		"Edition":                                      -9.347839,
-		"Eighth":                                       -9.347839,
-		"Electrical":                                   -9.347839,
-		"Enable":                                       -9.347839,
-		"Encountering":                                 -9.347839,
-		"End":                                          -7.961545,
-		"Engineering":                                  -9.347839,
-		"England.":                                     -9.347839,
-		"Enterprise":                                   -9.347839,
-		"Equipment":                                    -8.654692,
-		"Errors":                                       -9.347839,
-		"European":                                     -9.347839,
-		"Exp":                                          -9.347839,
-		"F":                                            -7.961545,
-		"FILES":                                        -7.268397,
-		"FITNESS":                                      -9.347839,
-		"FOO":                                          -9.347839,
-		"FOR":                                          -8.654692,
-		"FREAD":                                        -9.347839,
-		"FSS":                                          -8.654692,
-		"FSS.":                                         -9.347839,
-		"FSTAT":                                        -9.347839,
-		"FWRITE":                                       -9.347839,
-		"Federal":                                      -9.347839,
-		"File":                                         -7.738401,
-		"Filesystem":                                   -8.654692,
-		"Filesystems":                                  -9.347839,
-		"Foderaro":                                     -9.347839,
-		"Following":                                    -9.347839,
-		"Foo":                                          -8.654692,
-		"For":                                          -6.708782,
-		"Form":                                         -9.347839,
-		"From":                                         -9.347839,
-		"Functional":                                   -9.347839,
-		"Functions":                                    -9.347839,
-		"G":                                            -7.738401,
-		"GAMMA":                                        -8.654692,
-		"GFS":                                          -7.556079,
-		"GREEK":                                        -9.347839,
-		"Generic":                                      -9.347839,
-		"Given":                                        -9.347839,
-		"Graphic":                                      -8.654692,
-		"Greek":                                        -9.347839,
-		"Group":                                        -9.347839,
-		"H":                                            -8.249227,
-		"HACKERY":                                      -9.347839,
-		"HELP":                                         -9.347839,
-		"HP":                                           -9.347839,
-		"HTML":                                         -7.045254,
-		"HTML.":                                        -9.347839,
-		"HY":                                           -8.249227,
-		"Head":                                         -9.347839,
-		"However":                                      -7.961545,
-		"Hyphenation":                                  -9.347839,
-		"I":                                            -6.575250,
-		"I/O":                                          -8.654692,
-		"ID":                                           -8.249227,
-		"II":                                           -8.249227,
-		"III":                                          -7.268397,
-		"IMPLIED":                                      -9.347839,
-		"IN":                                           -9.347839,
-		"INCIDENTAL":                                   -9.347839,
-		"INCLUDING":                                    -9.347839,
-		"INCORRIGIBLE":                                 -9.347839,
-		"INDIRECT":                                     -9.347839,
-		"INO":                                          -9.347839,
-		"INTERRUPTION":                                 -9.347839,
-		"IO":                                           -9.347839,
-		"IO_APPEND":                                    -9.347839,
-		"IO_SYNC":                                      -9.347839,
-		"IO_UNIT":                                      -9.347839,
-		"IS":                                           -8.654692,
-		"IV":                                           -8.249227,
-		"If":                                           -6.457467,
-		"Ignore":                                       -9.347839,
-		"Illegal":                                      -9.347839,
-		"Implicit":                                     -9.347839,
-		"Impulse":                                      -9.347839,
-		"In":                                           -7.045254,
-		"Inc.":                                         -9.347839,
-		"Incoming":                                     -9.347839,
-		"Indent":                                       -9.347839,
-		"Indirection":                                  -9.347839,
-		"Initial":                                      -8.654692,
-		"Inodes":                                       -9.347839,
-		"Insert":                                       -8.654692,
-		"Instead":                                      -9.347839,
-		"Intent":                                       -9.347839,
-		"Interface":                                    -9.347839,
-		"Interpreter":                                  -9.347839,
-		"It":                                           -7.150614,
-		"Its":                                          -8.654692,
-		"J":                                            -8.249227,
-		"J.":                                           -8.654692,
-		"John":                                         -8.654692,
-		"Joy":                                          -9.347839,
-		"June":                                         -9.347839,
-		"K":                                            -8.249227,
-		"K.":                                           -9.347839,
-		"Karels":                                       -9.347839,
-		"Kirk":                                         -9.347839,
-		"Klingon":                                      -8.654692,
-		"Klingons":                                     -9.347839,
-		"L":                                            -8.249227,
-		"L.R.":                                         -9.347839,
-		"LAMBDA":                                       -8.654692,
-		"LIABILITY":                                    -9.347839,
-		"LINE":                                         -9.347839,
-		"LISP":                                         -9.347839,
-		"LOSS":                                         -9.347839,
-		"LRU":                                          -9.347839,
-		"L_INCR":                                       -9.347839,
-		"L_SET":                                        -9.347839,
-		"L_XTND.":                                      -9.347839,
-		"Language":                                     -8.654692,
-		"Lecture":                                      -9.347839,
-		"Leffler":                                      -9.347839,
-		"Lemberg":                                      -9.347839,
-		"Liberated":                                    -9.347839,
-		"License":                                      -9.347839,
-		"Line":                                         -8.249227,
-		"Links":                                        -9.347839,
-		"Literal":                                      -9.347839,
-		"Long":                                         -9.347839,
-		"Los":                                          -8.654692,
-		"Lrscan":                                       -9.347839,
-		"M":                                            -7.556079,
-		"M.S":                                          -9.347839,
-		"MAN":                                          -9.347839,
-		"ME":                                           -9.347839,
-		"MERCHANTABILITY":                              -9.347839,
-		"MOO":                                          -9.347839,
-		"Machine":                                      -9.347839,
-		"Man":                                          -9.347839,
-		"Manual":                                       -7.961545,
-		"Marshall":                                     -9.347839,
-		"Mathias":                                      -9.347839,
-		"McCarthy":                                     -9.347839,
-		"McKusick":                                     -8.654692,
-		"Mckusick":                                     -9.347839,
-		"Meaning":                                      -9.347839,
-		"Mellon":                                       -9.347839,
-		"Michael":                                      -9.347839,
-		"Microsystems":                                 -8.654692,
-		"Mnemonic":                                     -9.347839,
-		"Modified":                                     -9.347839,
-		"Moo":                                          -9.347839,
-		"Most":                                         -9.347839,
-		"Move":                                         -9.347839,
-		"Multiple":                                     -9.347839,
-		"N":                                            -7.738401,
-		"NAME":                                         -6.514626,
-		"NEGLIGENCE":                                   -9.347839,
-		"NFS":                                          -8.249227,
-		"NIX":                                          -8.249227,
-		"NOTES":                                        -9.347839,
-		"NS":                                           -7.961545,
-		"Name":                                         -9.347839,
-		"Neither":                                      -9.347839,
-		"Network":                                      -9.347839,
-		"Neumann":                                      -9.347839,
-		"Newcastle":                                    -9.347839,
-		"Newline":                                      -9.347839,
-		"No":                                           -9.347839,
-		"November":                                     -9.347839,
-		"Numerous":                                     -9.347839,
-		"O":                                            -7.738401,
-		"O.":                                           -9.347839,
-		"OF":                                           -8.249227,
-		"OMEGA":                                        -8.654692,
-		"OP":                                           -9.347839,
-		"OR":                                           -7.556079,
-		"OTHERWISE":                                    -9.347839,
-		"O_APPEND":                                     -9.347839,
-		"O_CREAT":                                      -9.347839,
-		"O_EXCL.":                                      -9.347839,
-		"O_TRUNC":                                      -9.347839,
-		"Odd":                                          -8.654692,
-		"On":                                           -9.347839,
-		"One":                                          -8.654692,
-		"Only":                                         -9.347839,
-		"Openvt":                                       -9.347839,
-		"Organization":                                 -9.347839,
-		"Other":                                        -8.654692,
-		"Output":                                       -8.654692,
-		"P":                                            -7.738401,
-		"PAGE":                                         -9.347839,
-		"PARAMETERS":                                   -9.347839,
-		"PARTICULAR":                                   -9.347839,
-		"PHI":                                          -8.654692,
-		"PI":                                           -8.654692,
-		"POW":                                          -9.347839,
-		"PRINTF":                                       -9.347839,
-		"PROFITS":                                      -9.347839,
-		"PROVIDED":                                     -9.347839,
-		"PSI":                                          -8.654692,
-		"PURPOSE":                                      -9.347839,
-		"Page":                                         -9.347839,
-		"Parse":                                        -9.347839,
-		"Part":                                         -9.347839,
-		"Patel":                                        -8.654692,
-		"Per":                                          -9.347839,
-		"Perhaps":                                      -9.347839,
-		"Phasers":                                      -8.654692,
-		"Phoneme":                                      -9.347839,
-		"Pow":                                          -9.347839,
-		"Print":                                        -8.249227,
-		"Printf":                                       -9.347839,
-		"Programmer":                                   -9.347839,
-		"Programming":                                  -8.654692,
-		"Programs":                                     -9.347839,
-		"Properties":                                   -9.347839,
-		"Proposal":                                     -9.347839,
-		"Pseudotab":                                    -9.347839,
-		"Q":                                            -8.249227,
-		"QSORT":                                        -9.347839,
-		"Qsort":                                        -9.347839,
-		"R":                                            -6.949944,
-		"RAM":                                          -9.347839,
-		"RANZ":                                         -9.347839,
-		"RB":                                           -9.347839,
-		"REFERENCE":                                    -9.347839,
-		"REGENTS":                                      -9.347839,
-		"REQUEST":                                      -9.347839,
-		"RFS":                                          -8.654692,
-		"RI":                                           -9.347839,
-		"ROFF":                                         -9.347839,
-		"Range":                                        -8.654692,
-		"Ratan":                                        -9.347839,
-		"Redistribution":                               -9.347839,
-		"Register":                                     -9.347839,
-		"Registers":                                    -8.654692,
-		"Report":                                       -9.347839,
-		"Request":                                      -8.654692,
-		"Research":                                     -9.347839,
-		"Rest":                                         -9.347839,
-		"Ritchie":                                      -9.347839,
-		"Rodriguez":                                    -9.347839,
-		"Roman":                                        -8.654692,
-		"S":                                            -8.249227,
-		"S.R.":                                         -9.347839,
-		"SCORING":                                      -9.347839,
-		"SEE":                                          -7.738401,
-		"SERVICES":                                     -9.347839,
-		"SHELL":                                        -9.347839,
-		"SHields":                                      -9.347839,
-		"SI":                                           -9.347839,
-		"SIGMA":                                        -8.654692,
-		"SINS":                                         -8.249227,
-		"SO":                                           -9.347839,
-		"SOFTWARE":                                     -9.347839,
-		"SPECIAL":                                      -9.347839,
-		"SQL":                                          -8.654692,
-		"STatus":                                       -9.347839,
-		"SUCH":                                         -9.347839,
-		"SUMMARY":                                      -9.347839,
-		"SYNOPSIS":                                     -6.639789,
-		"Same":                                         -8.654692,
-		"Sandberg":                                     -9.347839,
-		"Satyanarayanan":                               -8.654692,
-		"Scan":                                         -8.654692,
-		"Science":                                      -8.249227,
-		"Screw":                                        -9.347839,
-		"Section":                                      -9.347839,
-		"See":                                          -9.347839,
-		"Separate":                                     -9.347839,
-		"September":                                    -9.347839,
-		"Several":                                      -7.961545,
-		"Short":                                        -9.347839,
-		"Shortest":                                     -9.347839,
-		"Single":                                       -9.347839,
-		"Srscan":                                       -9.347839,
-		"Statements":                                   -9.347839,
-		"Status":                                       -9.347839,
-		"Stop":                                         -8.654692,
-		"String":                                       -9.347839,
-		"Style":                                        -8.654692,
-		"Substitutions":                                -8.654692,
-		"Such":                                         -9.347839,
-		"Summary":                                      -9.347839,
-		"Sun":                                          -6.403400,
-		"Support":                                      -9.347839,
-		"Switch":                                       -8.654692,
-		"Sy":                                           -7.150614,
-		"Symbol":                                       -9.347839,
-		"Symbolic":                                     -9.347839,
-		"Synthesizer.":                                 -8.654692,
-		"System":                                       -7.401929,
-		"Systems":                                      -8.249227,
-		"T":                                            -6.949944,
-		"T.":                                           -9.347839,
-		"TERMINATE":                                    -9.347839,
-		"THE":                                          -9.347839,
-		"THETA":                                        -8.654692,
-		"THIS":                                         -8.654692,
-		"TORT":                                         -9.347839,
-		"TTY":                                          -9.347839,
-		"Tab":                                          -8.654692,
-		"Take":                                         -9.347839,
-		"Takes":                                        -9.347839,
-		"Tcl":                                          -8.654692,
-		"Teletypes":                                    -9.347839,
-		"Temporarily":                                  -8.654692,
-		"The":                                          -4.783491,
-		"There":                                        -8.654692,
-		"These":                                        -7.961545,
-		"Thesis":                                       -9.347839,
-		"This":                                         -6.575250,
-		"Throw":                                        -9.347839,
-		"Thus":                                         -9.347839,
-		"Title":                                        -8.654692,
-		"Torpedo":                                      -9.347839,
-		"Total":                                        -9.347839,
-		"Toward":                                       -9.347839,
-		"Translate":                                    -9.347839,
-		"Turing":                                       -9.347839,
-		"U":                                            -6.514626,
-		"UE":                                           -9.347839,
-		"UIO_USERISPACE":                               -9.347839,
-		"ULTRIX":                                       -7.556079,
-		"UNDER":                                        -9.347839,
-		"UNIX":                                         -8.654692,
-		"URL":                                          -7.961545,
-		"URL.":                                         -9.347839,
-		"USE":                                          -9.347839,
-		"UX":                                           -8.249227,
-		"Underline":                                    -9.347839,
-		"Undock":                                       -9.347839,
-		"Unicode":                                      -6.639789,
-		"University":                                   -7.401929,
-		"Up/Down":                                      -8.654692,
-		"Use":                                          -8.654692,
-		"User":                                         -9.347839,
-		"Users":                                        -9.347839,
-		"Uses":                                         -9.347839,
-		"V":                                            -7.401929,
-		"VBLK":                                         -9.347839,
-		"VCHR":                                         -9.347839,
-		"VDIR":                                         -9.347839,
-		"VEXLOCK":                                      -9.347839,
-		"VFS":                                          -7.150614,
-		"VFS_EXPORTED":                                 -9.347839,
-		"VFS_MLOCK":                                    -9.347839,
-		"VFS_MWAIT":                                    -9.347839,
-		"VFS_NOSUID":                                   -9.347839,
-		"VI":                                           -9.347839,
-		"VIEW":                                         -9.347839,
-		"VII":                                          -7.961545,
-		"VIII":                                         -9.347839,
-		"VLNK":                                         -9.347839,
-		"VLWAIT":                                       -9.347839,
-		"VNON":                                         -9.347839,
-		"VREG":                                         -9.347839,
-		"VROOT":                                        -9.347839,
-		"VS":                                           -9.347839,
-		"VSHLOCK":                                      -9.347839,
-		"VSOCK":                                        -9.347839,
-		"VT":                                           -9.347839,
-		"VTEXT":                                        -9.347839,
-		"Vertical":                                     -9.347839,
-		"Very":                                         -9.347839,
-		"Virtual":                                      -9.347839,
-		"Visual":                                       -9.347839,
-		"Voice":                                        -8.654692,
-		"W":                                            -8.249227,
-		"W.N.":                                         -9.347839,
-		"WARRANTIES":                                   -9.347839,
-		"WARRANTIES.":                                  -9.347839,
-		"WAY":                                          -9.347839,
-		"WWW":                                          -9.347839,
-		"Warp":                                         -9.347839,
-		"Watch":                                        -9.347839,
-		"Weinberger":                                   -9.347839,
-		"Werner":                                       -9.347839,
-		"When":                                         -7.961545,
-		"Works":                                        -9.347839,
-		"X":                                            -7.961545,
-		"XXX":                                          -8.654692,
-		"Y":                                            -8.249227,
-		"Yes":                                          -8.249227,
-		"Yes/No":                                       -8.654692,
-		"You":                                          -7.961545,
-		"Z":                                            -8.249227,
-		"[":                                            -5.946642,
-		"\\":                                           -3.012785,
-		"]":                                            -5.913852,
-		"^":                                            -7.738401,
-		"_":                                            -7.556079,
-		"__":                                           -7.738401,
-		"___":                                          -7.961545,
-		"____":                                         -8.654692,
-		"______":                                       -8.654692,
-		"_arbage":                                      -9.347839,
-		"_dd":                                          -9.347839,
-		"_ddress":                                      -9.347839,
-		"_elocation":                                   -9.347839,
-		"_hase":                                        -9.347839,
-		"_ndefined":                                    -9.347839,
-		"_number":                                      -9.347839,
-		"_ranch":                                       -9.347839,
-		"_ultiply":                                     -9.347839,
-		"_xpression":                                   -9.347839,
-		"`":                                            -5.586639,
-		"a":                                            -4.235851,
-		"a.out":                                        -8.654692,
-		"abandon":                                      -9.347839,
-		"abcd..":                                       -9.347839,
-		"able":                                         -9.347839,
-		"about":                                        -8.654692,
-		"above":                                        -8.249227,
-		"above.":                                       -8.654692,
-		"acceptance":                                   -9.347839,
-		"accepts":                                      -9.347839,
-		"access":                                       -8.654692,
-		"accommodate":                                  -9.347839,
-		"accommodated.":                                -9.347839,
-		"accommodates":                                 -9.347839,
-		"accomodate":                                   -9.347839,
-		"according":                                    -8.654692,
-		"accounting":                                   -9.347839,
-		"across":                                       -9.347839,
-		"active":                                       -9.347839,
-		"actual":                                       -7.401929,
-		"actually":                                     -9.347839,
-		"ad":                                           -9.347839,
-		"addition":                                     -9.347839,
-		"additional":                                   -8.654692,
-		"address":                                      -7.738401,
-		"address.":                                     -9.347839,
-		"addresses":                                    -9.347839,
-		"adjusting":                                    -8.654692,
-		"adopts":                                       -9.347839,
-		"advance":                                      -9.347839,
-		"advanced":                                     -9.347839,
-		"advantages":                                   -9.347839,
-		"advised.":                                     -9.347839,
-		"affect":                                       -9.347839,
-		"after":                                        -7.150614,
-		"against":                                      -8.654692,
-		"ai":                                           -9.347839,
-		"alert":                                        -8.654692,
-		"algorithm":                                    -8.654692,
-		"algorithm.":                                   -9.347839,
-		"aligned":                                      -9.347839,
-		"all":                                          -6.949944,
-		"allocate":                                     -9.347839,
-		"allocates":                                    -9.347839,
-		"allocation":                                   -8.654692,
-		"allow":                                        -8.249227,
-		"allowing":                                     -9.347839,
-		"allows":                                       -7.738401,
-		"along":                                        -8.654692,
-		"alpha":                                        -8.654692,
-		"already":                                      -9.347839,
-		"also":                                         -7.268397,
-		"always":                                       -8.249227,
-		"among":                                        -8.249227,
-		"amount":                                       -9.347839,
-		"amt":                                          -9.347839,
-		"amusing":                                      -9.347839,
-		"an":                                           -6.089742,
-		"analogous":                                    -9.347839,
-		"ancient":                                      -9.347839,
-		"and":                                          -4.535655,
-		"and/or":                                       -8.654692,
-		"angle/No":                                     -9.347839,
-		"another":                                      -8.654692,
-		"another.":                                     -9.347839,
-		"any":                                          -7.045254,
-		"anything":                                     -9.347839,
-		"appears":                                      -8.249227,
-		"ar":                                           -9.347839,
-		"arabic":                                       -8.654692,
-		"arbitrary":                                    -9.347839,
-		"arc":                                          -9.347839,
-		"architecture":                                 -9.347839,
-		"are":                                          -5.158184,
-		"area":                                         -9.347839,
-		"areas":                                        -8.654692,
-		"aren":                                         -9.347839,
-		"arg":                                          -9.347839,
-		"argument":                                     -6.303316,
-		"argument.":                                    -8.654692,
-		"arguments":                                    -8.249227,
-		"arm":                                          -9.347839,
-		"around":                                       -8.654692,
-		"arranged":                                     -9.347839,
-		"array":                                        -8.654692,
-		"array.":                                       -9.347839,
-		"as":                                           -5.658959,
-		"ascii":                                        -8.249227,
-		"assembler":                                    -9.347839,
-		"assembles":                                    -9.347839,
-		"assembly":                                     -7.961545,
-		"assembly.":                                    -9.347839,
-		"assigned":                                     -9.347839,
-		"assignment":                                   -9.347839,
-		"associated":                                   -9.347839,
-		"assumed.":                                     -9.347839,
-		"at":                                           -6.457467,
-		"attached.":                                    -9.347839,
-		"attempt":                                      -8.654692,
-		"attempting":                                   -9.347839,
-		"attempts":                                     -8.249227,
-		"attribute":                                    -9.347839,
-		"attributes":                                   -9.347839,
-		"automatically":                                -9.347839,
-		"available":                                    -9.347839,
-		"avoid":                                        -7.961545,
-		"avoided":                                      -9.347839,
-		"avoiding":                                     -9.347839,
-		"ay":                                           -9.347839,
-		"b":                                            -9.347839,
-		"back":                                         -8.654692,
-		"backslash":                                    -7.556079,
-		"bad":                                          -9.347839,
-		"bar":                                          -7.961545,
-		"base":                                         -8.654692,
-		"based":                                        -9.347839,
-		"basic":                                        -9.347839,
-		"baz":                                          -9.347839,
-		"baz.":                                         -9.347839,
-		"be":                                           -4.793962,
-		"bear":                                         -9.347839,
-		"becomes":                                      -7.738401,
-		"been":                                         -6.862932,
-		"before":                                       -7.045254,
-		"begin":                                        -9.347839,
-		"beginning":                                    -7.556079,
-		"behave":                                       -9.347839,
-		"being":                                        -8.654692,
-		"bell":                                         -9.347839,
-		"below":                                        -7.961545,
-		"best":                                         -8.249227,
-		"beta":                                         -8.249227,
-		"better":                                       -9.347839,
-		"between":                                      -6.949944,
-		"binary":                                       -8.654692,
-		"bit":                                          -7.401929,
-		"bits":                                         -7.961545,
-		"bl":                                           -9.347839,
-		"blank":                                        -7.556079,
-		"blanks":                                       -9.347839,
-		"block":                                        -6.949944,
-		"block.":                                       -9.347839,
-		"blocks":                                       -7.401929,
-		"blocks.":                                      -8.249227,
-		"bonus":                                        -9.347839,
-		"bottom":                                       -8.654692,
-		"boundaries":                                   -9.347839,
-		"box":                                          -9.347839,
-		"box.":                                         -9.347839,
-		"bp":                                           -9.347839,
-		"br":                                           -8.249227,
-		"braces":                                       -8.249227,
-		"brackets":                                     -9.347839,
-		"break":                                        -9.347839,
-		"break.":                                       -9.347839,
-		"broken":                                       -9.347839,
-		"browsers":                                     -9.347839,
-		"buf":                                          -8.249227,
-		"buffer":                                       -6.403400,
-		"buffer.":                                      -8.654692,
-		"buffered":                                     -8.654692,
-		"buffers":                                      -8.249227,
-		"bug":                                          -9.347839,
-		"bulls":                                        -9.347839,
-		"bus":                                          -9.347839,
-		"but":                                          -7.150614,
-		"by":                                           -5.435816,
-		"bypasses":                                     -9.347839,
-		"byte":                                         -8.654692,
-		"bytes":                                        -8.654692,
-		"c":                                            -6.949944,
-		"cache":                                        -5.913852,
-		"cache.":                                       -8.249227,
-		"cached":                                       -9.347839,
-		"caching":                                      -8.654692,
-		"caddr_t":                                      -7.556079,
-		"call":                                         -6.862932,
-		"call.":                                        -9.347839,
-		"called":                                       -7.961545,
-		"caller":                                       -9.347839,
-		"callers":                                      -9.347839,
-		"calling":                                      -7.738401,
-		"calls":                                        -7.150614,
-		"can":                                          -8.654692,
-		"cancellation":                                 -9.347839,
-		"cannot":                                       -8.249227,
-		"captions":                                     -9.347839,
-		"carefully":                                    -9.347839,
-		"carry":                                        -9.347839,
-		"carryover":                                    -9.347839,
-		"case":                                         -8.249227,
-		"cases":                                        -9.347839,
-		"casualty":                                     -9.347839,
-		"cat":                                          -9.347839,
-		"cause":                                        -8.249227,
-		"causes":                                       -7.961545,
-		"cc":                                           -9.347839,
-		"ceases.":                                      -9.347839,
-		"centered":                                     -9.347839,
-		"central":                                      -9.347839,
-		"change":                                       -8.654692,
-		"changed":                                      -9.347839,
-		"changes":                                      -7.738401,
-		"char":                                         -7.401929,
-		"char_":                                        -9.347839,
-		"character":                                    -5.710253,
-		"character.":                                   -9.347839,
-		"characteristics":                              -9.347839,
-		"characterized":                                -9.347839,
-		"characters":                                   -7.268397,
-		"characters.":                                  -9.347839,
-		"check":                                        -9.347839,
-		"checked":                                      -9.347839,
-		"checked.":                                     -9.347839,
-		"checking":                                     -9.347839,
-		"chop":                                         -8.654692,
-		"chosen":                                       -9.347839,
-		"circle":                                       -9.347839,
-		"circumstances":                                -9.347839,
-		"clean":                                        -8.654692,
-		"client":                                       -8.654692,
-		"cloaking":                                     -9.347839,
-		"close":                                        -9.347839,
-		"closely":                                      -9.347839,
-		"code":                                         -8.654692,
-		"coding":                                       -9.347839,
-		"collected":                                    -9.347839,
-		"columns":                                      -9.347839,
-		"com":                                          -9.347839,
-		"command":                                      -7.401929,
-		"command.":                                     -8.654692,
-		"commas":                                       -9.347839,
-		"comment":                                      -8.654692,
-		"comments":                                     -9.347839,
-		"commodore":                                    -9.347839,
-		"common":                                       -8.654692,
-		"communicate":                                  -9.347839,
-		"compar":                                       -8.249227,
-		"compare":                                      -9.347839,
-		"compared.":                                    -9.347839,
-		"compares":                                     -8.654692,
-		"comparison":                                   -7.961545,
-		"compatibility":                                -7.961545,
-		"complete":                                     -8.249227,
-		"completely":                                   -8.654692,
-		"completeness":                                 -9.347839,
-		"completion":                                   -8.654692,
-		"completion.":                                  -9.347839,
-		"complicated.":                                 -8.654692,
-		"complications.":                               -9.347839,
-		"component":                                    -7.556079,
-		"components":                                   -9.347839,
-		"computer":                                     -7.401929,
-		"concatenation":                                -9.347839,
-		"concern":                                      -9.347839,
-		"conditions":                                   -8.249227,
-		"conference":                                   -9.347839,
-		"conflict":                                     -9.347839,
-		"considerably":                                 -8.654692,
-		"considered":                                   -7.738401,
-		"consistency":                                  -8.249227,
-		"consistency.":                                 -9.347839,
-		"consistent":                                   -8.654692,
-		"consisting":                                   -9.347839,
-		"consulted":                                    -9.347839,
-		"contain":                                      -9.347839,
-		"containing":                                   -8.249227,
-		"contains":                                     -7.556079,
-		"content.":                                     -9.347839,
-		"contents":                                     -8.654692,
-		"context":                                      -8.654692,
-		"contiguous":                                   -9.347839,
-		"continues":                                    -9.347839,
-		"contrast":                                     -9.347839,
-		"contributors":                                 -9.347839,
-		"control":                                      -7.961545,
-		"conveniently":                                 -9.347839,
-		"convention":                                   -8.654692,
-		"conventions":                                  -9.347839,
-		"conversion":                                   -8.249227,
-		"converted":                                    -8.654692,
-		"converts":                                     -9.347839,
-		"copied":                                       -7.961545,
-		"copies":                                       -9.347839,
-		"copy":                                         -7.961545,
-		"copying":                                      -7.961545,
-		"copying.":                                     -8.654692,
-		"core":                                         -7.045254,
-		"corollary":                                    -9.347839,
-		"correct":                                      -8.654692,
-		"corrected":                                    -8.654692,
-		"corresponding":                                -9.347839,
-		"costly.":                                      -9.347839,
-		"could":                                        -9.347839,
-		"count":                                        -8.249227,
-		"course":                                       -7.556079,
-		"cow":                                          -9.347839,
-		"create":                                       -8.654692,
-		"created":                                      -8.654692,
-		"creates":                                      -9.347839,
-		"creating":                                     -9.347839,
-		"creation":                                     -6.862932,
-		"cred":                                         -9.347839,
-		"credentials":                                  -8.654692,
-		"current":                                      -6.949944,
-		"currently":                                    -9.347839,
-		"cylinder":                                     -9.347839,
-		"d":                                            -7.401929,
-		"damaged":                                      -9.347839,
-		"data":                                         -6.303316,
-		"data.":                                        -8.654692,
-		"date":                                         -9.347839,
-		"db":                                           -8.654692,
-		"dd":                                           -8.249227,
-		"de_":                                          -7.738401,
-		"deal":                                         -9.347839,
-		"debugger":                                     -9.347839,
-		"decimal":                                      -7.556079,
-		"declared":                                     -9.347839,
-		"decode":                                       -9.347839,
-		"decoded":                                      -8.654692,
-		"decreasing":                                   -9.347839,
-		"default":                                      -9.347839,
-		"define":                                       -9.347839,
-		"defined":                                      -7.961545,
-		"degrees":                                      -9.347839,
-		"delete":                                       -9.347839,
-		"deleted":                                      -9.347839,
-		"deletion":                                     -7.961545,
-		"deletion.":                                    -8.654692,
-		"delimit":                                      -9.347839,
-		"delta":                                        -8.654692,
-		"demand":                                       -8.654692,
-		"dependent":                                    -7.961545,
-		"derives":                                      -8.654692,
-		"describe":                                     -8.654692,
-		"described":                                    -7.150614,
-		"describes":                                    -9.347839,
-		"describing":                                   -8.654692,
-		"description":                                  -8.654692,
-		"descriptor":                                   -8.249227,
-		"descritors":                                   -9.347839,
-		"design":                                       -7.401929,
-		"designed":                                     -9.347839,
-		"designs.":                                     -8.249227,
-		"desirable":                                    -8.654692,
-		"destination":                                  -9.347839,
-		"destroy":                                      -8.249227,
-		"destroyed.":                                   -9.347839,
-		"detail.":                                      -9.347839,
-		"details":                                      -8.654692,
-		"determine":                                    -9.347839,
-		"determining":                                  -9.347839,
-		"developed.":                                   -9.347839,
-		"device":                                       -6.949944,
-		"device.":                                      -8.654692,
-		"devised":                                      -8.654692,
-		"devising":                                     -9.347839,
-		"dg":                                           -8.654692,
-		"di":                                           -7.738401,
-		"diagnostic":                                   -9.347839,
-		"diagnostic.":                                  -9.347839,
-		"difference":                                   -9.347839,
-		"differences":                                  -8.249227,
-		"different":                                    -6.708782,
-		"difficult":                                    -9.347839,
-		"digit":                                        -8.654692,
-		"digit.":                                       -9.347839,
-		"digits":                                       -6.862932,
-		"digits.":                                      -9.347839,
-		"dillon":                                       -9.347839,
-		"directly":                                     -8.249227,
-		"directories":                                  -9.347839,
-		"directory":                                    -6.862932,
-		"directory.":                                   -8.654692,
-		"diroffcache":                                  -9.347839,
-		"disadvantages":                                -9.347839,
-		"disclaimer":                                   -9.347839,
-		"disclaimer.":                                  -9.347839,
-		"disk":                                         -7.961545,
-		"disk.":                                        -9.347839,
-		"display":                                      -9.347839,
-		"distance":                                     -8.249227,
-		"distinct":                                     -8.654692,
-		"distorts":                                     -9.347839,
-		"distressed":                                   -9.347839,
-		"distribute":                                   -9.347839,
-		"disturbed.":                                   -9.347839,
-		"div":                                          -7.961545,
-		"diversion":                                    -8.654692,
-		"diversity":                                    -9.347839,
-		"divide":                                       -9.347839,
-		"division":                                     -9.347839,
-		"do":                                           -6.708782,
-		"document":                                     -9.347839,
-		"does":                                         -7.961545,
-		"doing":                                        -9.347839,
-		"dollar":                                       -9.347839,
-		"don":                                          -9.347839,
-		"done":                                         -7.556079,
-		"done.":                                        -9.347839,
-		"dot":                                          -9.347839,
-		"double":                                       -7.961545,
-		"double.":                                      -9.347839,
-		"doublequotes":                                 -9.347839,
-		"dramatically":                                 -9.347839,
-		"driven":                                       -9.347839,
-		"driver":                                       -9.347839,
-		"drivers":                                      -8.249227,
-		"drivers.":                                     -9.347839,
-		"dropped":                                      -9.347839,
-		"ds":                                           -8.654692,
-		"dsw":                                          -7.961545,
-		"dumped":                                       -9.347839,
-		"dumped.":                                      -9.347839,
-		"duplication":                                  -9.347839,
-		"during":                                       -7.401929,
-		"dx":                                           -9.347839,
-		"dynamically":                                  -9.347839,
-		"e":                                            -6.514626,
-		"e.g.":                                         -8.654692,
-		"each":                                         -6.457467,
-		"easily":                                       -9.347839,
-		"effect":                                       -9.347839,
-		"effects":                                      -9.347839,
-		"efficiency":                                   -8.249227,
-		"effort":                                       -9.347839,
-		"eight":                                        -7.961545,
-		"either":                                       -7.961545,
-		"el":                                           -8.249227,
-		"element":                                      -7.961545,
-		"elements":                                     -7.961545,
-		"elements.":                                    -9.347839,
-		"else":                                         -9.347839,
-		"email":                                        -9.347839,
-		"embedded":                                     -9.347839,
-		"emit":                                         -8.654692,
-		"emphasized":                                   -9.347839,
-		"en":                                           -9.347839,
-		"enU":                                          -7.738401,
-		"enclosed":                                     -9.347839,
-		"encode":                                       -9.347839,
-		"encoded":                                      -9.347839,
-		"encountered.":                                 -9.347839,
-		"end":                                          -7.961545,
-		"energy":                                       -7.401929,
-		"engines":                                      -8.249227,
-		"enhanced":                                     -9.347839,
-		"enlightenment":                                -9.347839,
-		"entire":                                       -7.961545,
-		"entirely":                                     -9.347839,
-		"entries":                                      -9.347839,
-		"entry":                                        -7.045254,
-		"enum":                                         -8.249227,
-		"epsilon":                                      -8.654692,
-		"equal":                                        -8.249227,
-		"equal.":                                       -9.347839,
-		"equivalent":                                   -8.654692,
-		"erase":                                        -9.347839,
-		"error":                                        -6.862932,
-		"errors":                                       -7.738401,
-		"escape":                                       -9.347839,
-		"escapes":                                      -9.347839,
-		"esthetics.":                                   -9.347839,
-		"eta":                                          -8.654692,
-		"etc.":                                         -9.347839,
-		"etymology":                                    -9.347839,
-		"ev":                                           -8.654692,
-		"evaluate":                                     -9.347839,
-		"evaluated":                                    -9.347839,
-		"even":                                         -7.961545,
-		"exactly":                                      -9.347839,
-		"examined":                                     -8.654692,
-		"example":                                      -7.556079,
-		"example.":                                     -9.347839,
-		"exceeds":                                      -9.347839,
-		"except":                                       -7.556079,
-		"exceptional":                                  -9.347839,
-		"exec":                                         -9.347839,
-		"executable":                                   -9.347839,
-		"exist":                                        -9.347839,
-		"existence":                                    -9.347839,
-		"existent":                                     -9.347839,
-		"existing":                                     -8.249227,
-		"exists":                                       -9.347839,
-		"exits":                                        -9.347839,
-		"exp":                                          -9.347839,
-		"expansion":                                    -9.347839,
-		"expected":                                     -9.347839,
-		"expecting":                                    -9.347839,
-		"expense":                                      -8.654692,
-		"expensive":                                    -9.347839,
-		"expert":                                       -9.347839,
-		"explicitly":                                   -8.654692,
-		"exponentiation":                               -9.347839,
-		"expressions":                                  -9.347839,
-		"extended":                                     -8.654692,
-		"extension":                                    -9.347839,
-		"extent":                                       -9.347839,
-		"external":                                     -9.347839,
-		"fB":                                           -5.980543,
-		"fB.":                                          -9.347839,
-		"fBU":                                          -9.347839,
-		"fBa":                                          -9.347839,
-		"fBb":                                          -9.347839,
-		"fBe":                                          -9.347839,
-		"fBf":                                          -9.347839,
-		"fBn":                                          -9.347839,
-		"fBr":                                          -9.347839,
-		"fBt":                                          -9.347839,
-		"fBu":                                          -9.347839,
-		"fBv":                                          -9.347839,
-		"fBx":                                          -9.347839,
-		"fI":                                           -8.249227,
-		"fICACM":                                       -8.654692,
-		"fILookuppn":                                   -9.347839,
-		"fINamei":                                      -9.347839,
-		"fIUsenix":                                     -9.347839,
-		"fIbackslash":                                  -9.347839,
-		"fIbmap":                                       -8.654692,
-		"fIbuf":                                        -9.347839,
-		"fIhh":                                         -8.654692,
-		"fIhhhh":                                       -8.654692,
-		"fIhhhhhhhh":                                   -8.654692,
-		"fIiget":                                       -7.961545,
-		"fIioctl":                                      -8.654692,
-		"fIiput":                                       -9.347839,
-		"fIlookup":                                     -8.654692,
-		"fIlookupname":                                 -9.347839,
-		"fIlookuppn":                                   -9.347839,
-		"fInamei":                                      -6.639789,
-		"fInameidata":                                  -7.738401,
-		"fIoff_t":                                      -8.654692,
-		"fIooo":                                        -8.654692,
-		"fIstrategy":                                   -9.347839,
-		"fIucred":                                      -9.347839,
-		"fIuio":                                        -7.961545,
-		"fIunsigned":                                   -9.347839,
-		"fIuser":                                       -9.347839,
-		"fIvattr":                                      -9.347839,
-		"fIvn_lookup":                                  -9.347839,
-		"fIvnode":                                      -8.654692,
-		"fIwhiteSpace":                                 -9.347839,
-		"fIx":                                          -9.347839,
-		"fIy":                                          -9.347839,
-		"fP":                                           -5.143146,
-		"fP.":                                          -7.268397,
-		"fR":                                           -6.089742,
-		"fR.":                                          -8.249227,
-		"fRddd":                                        -9.347839,
-		"facilities":                                   -8.249227,
-		"facility":                                     -9.347839,
-		"fail":                                         -9.347839,
-		"fail.":                                        -9.347839,
-		"fails":                                        -9.347839,
-		"fair":                                         -9.347839,
-		"fam":                                          -9.347839,
-		"familiar":                                     -9.347839,
-		"far":                                          -9.347839,
-		"faster":                                       -9.347839,
-		"fault.":                                       -9.347839,
-		"faults.":                                      -9.347839,
-		"features":                                     -8.654692,
-		"feed":                                         -9.347839,
-		"few":                                          -8.249227,
-		"fewer":                                        -9.347839,
-		"fflags":                                       -9.347839,
-		"fhp":                                          -9.347839,
-		"fi":                                           -9.347839,
-		"field":                                        -8.249227,
-		"fields":                                       -8.249227,
-		"fildes":                                       -9.347839,
-		"file":                                         -5.519197,
-		"file.":                                        -8.654692,
-		"filename":                                     -9.347839,
-		"files":                                        -7.150614,
-		"filesystem":                                   -5.099344,
-		"filesystem.":                                  -7.401929,
-		"filesystems":                                  -6.403400,
-		"filesystems.":                                 -9.347839,
-		"fill":                                         -8.654692,
-		"filling":                                      -8.654692,
-		"final":                                        -7.268397,
-		"find":                                         -8.249227,
-		"first":                                        -6.639789,
-		"fit":                                          -9.347839,
-		"flags":                                        -8.654692,
-		"flashed.":                                     -9.347839,
-		"float":                                        -8.654692,
-		"floating":                                     -9.347839,
-		"flushed":                                      -9.347839,
-		"flushing":                                     -9.347839,
-		"follow":                                       -9.347839,
-		"followed":                                     -9.347839,
-		"following":                                    -7.401929,
-		"font":                                         -9.347839,
-		"foo":                                          -8.654692,
-		"foot":                                         -7.738401,
-		"for":                                          -5.030351,
-		"forbids":                                      -9.347839,
-		"forces":                                       -8.654692,
-		"form":                                         -7.961545,
-		"format":                                       -7.961545,
-		"formats":                                      -8.654692,
-		"formatted":                                    -9.347839,
-		"forms":                                        -9.347839,
-		"found":                                        -7.556079,
-		"four":                                         -7.961545,
-		"fr":                                           -8.249227,
-		"framework":                                    -9.347839,
-		"framework.":                                   -8.654692,
-		"free":                                         -8.654692,
-		"freely":                                       -9.347839,
-		"frees":                                        -9.347839,
-		"frequently":                                   -9.347839,
-		"from":                                         -5.882103,
-		"fsinterface.ms":                               -9.347839,
-		"fstat":                                        -7.961545,
-		"ft":                                           -9.347839,
-		"ftr":                                          -8.249227,
-		"full.":                                        -9.347839,
-		"function":                                     -7.961545,
-		"function.":                                    -9.347839,
-		"functions":                                    -9.347839,
-		"further":                                      -9.347839,
-		"future.":                                      -9.347839,
-		"galaxy":                                       -9.347839,
-		"game":                                         -7.961545,
-		"game.":                                        -8.654692,
-		"gamma":                                        -8.654692,
-		"general":                                      -7.961545,
-		"generality":                                   -9.347839,
-		"generalization":                               -8.249227,
-		"generalized":                                  -9.347839,
-		"generally":                                    -8.654692,
-		"generate":                                     -9.347839,
-		"generated":                                    -8.654692,
-		"generator.":                                   -9.347839,
-		"generic":                                      -7.556079,
-		"get":                                          -7.556079,
-		"gets":                                         -9.347839,
-		"getting":                                      -9.347839,
-		"give":                                         -7.961545,
-		"given":                                        -7.268397,
-		"given.":                                       -9.347839,
-		"gives":                                        -9.347839,
-		"global":                                       -9.347839,
-		"global.":                                      -9.347839,
-		"goal":                                         -8.654692,
-		"goals":                                        -7.738401,
-		"goals.":                                       -9.347839,
-		"good":                                         -9.347839,
-		"gr":                                           -9.347839,
-		"graphics":                                     -8.654692,
-		"greek":                                        -9.347839,
-		"groff":                                        -9.347839,
-		"grohtml.":                                     -9.347839,
-		"group":                                        -7.961545,
-		"groups":                                       -7.961545,
-		"groups.":                                      -9.347839,
-		"guarantee":                                    -9.347839,
-		"guess.":                                       -9.347839,
-		"guesses":                                      -8.654692,
-		"guessing":                                     -8.654692,
-		"guru":                                         -9.347839,
-		"h":                                            -9.347839,
-		"handle":                                       -8.654692,
-		"handle.":                                      -9.347839,
-		"handled":                                      -9.347839,
-		"handling":                                     -8.654692,
-		"hard":                                         -8.654692,
-		"has":                                          -6.514626,
-		"hash":                                         -8.654692,
-		"have":                                         -6.639789,
-		"he":                                           -8.249227,
-		"head":                                         -7.738401,
-		"header":                                       -9.347839,
-		"held":                                         -8.654692,
-		"help":                                         -8.654692,
-		"here.":                                        -8.654692,
-		"hexadecimal":                                  -7.268397,
-		"highly":                                       -9.347839,
-		"hold":                                         -8.654692,
-		"holding":                                      -9.347839,
-		"holds":                                        -9.347839,
-		"horizontal":                                   -9.347839,
-		"however":                                      -8.654692,
-		"href=":                                        -7.961545,
-		"html":                                         -9.347839,
-		"hx":                                           -9.347839,
-		"hy":                                           -7.961545,
-		"hyphenation":                                  -9.347839,
-		"i":                                            -6.708782,
-		"i.e.":                                         -8.249227,
-		"identical":                                    -9.347839,
-		"identification":                               -9.347839,
-		"identified":                                   -9.347839,
-		"ie":                                           -8.249227,
-		"if":                                           -5.882103,
-		"ig":                                           -9.347839,
-		"ignored.":                                     -9.347839,
-		"ih":                                           -9.347839,
-		"ill":                                          -9.347839,
-		"illegal":                                      -9.347839,
-		"illegally":                                    -9.347839,
-		"image":                                        -7.738401,
-		"images.":                                      -9.347839,
-		"implementation":                               -7.961545,
-		"implementations":                              -7.961545,
-		"implementations.":                             -8.654692,
-		"implemented":                                  -7.961545,
-		"implementing":                                 -9.347839,
-		"implementors":                                 -9.347839,
-		"implicit":                                     -9.347839,
-		"importance":                                   -9.347839,
-		"important":                                    -8.654692,
-		"imported":                                     -9.347839,
-		"impossible":                                   -9.347839,
-		"improvements":                                 -8.249227,
-		"impulse":                                      -9.347839,
-		"in":                                           -4.552048,
-		"in.":                                          -9.347839,
-		"include":                                      -7.961545,
-		"included":                                     -8.654692,
-		"includes":                                     -8.654692,
-		"including":                                    -7.738401,
-		"incompatible":                                 -9.347839,
-		"incorporated.":                                -9.347839,
-		"incr":                                         -8.654692,
-		"increments":                                   -9.347839,
-		"incur.":                                       -9.347839,
-		"indent":                                       -8.654692,
-		"indented":                                     -9.347839,
-		"independent":                                  -7.961545,
-		"index":                                        -8.249227,
-		"indicated":                                    -9.347839,
-		"indicates":                                    -8.654692,
-		"indirect":                                     -8.654692,
-		"indirecting":                                  -9.347839,
-		"individual":                                   -7.961545,
-		"inefficient":                                  -9.347839,
-		"inflection":                                   -9.347839,
-		"information":                                  -7.268397,
-		"inhabited":                                    -8.654692,
-		"ino":                                          -8.654692,
-		"inode":                                        -6.514626,
-		"inode.":                                       -8.654692,
-		"inodes":                                       -8.654692,
-		"input":                                        -7.556079,
-		"inserted":                                     -8.249227,
-		"inserted.":                                    -8.654692,
-		"inside":                                       -9.347839,
-		"installs":                                     -9.347839,
-		"instead":                                      -8.249227,
-		"instruction":                                  -9.347839,
-		"instructions":                                 -9.347839,
-		"int":                                          -5.946642,
-		"intact.":                                      -9.347839,
-		"integer":                                      -8.249227,
-		"integer.":                                     -9.347839,
-		"integral":                                     -8.249227,
-		"integrating":                                  -9.347839,
-		"interaction":                                  -9.347839,
-		"interactions":                                 -9.347839,
-		"interconsole":                                 -9.347839,
-		"interface":                                    -5.851331,
-		"interface.":                                   -7.556079,
-		"interfaces":                                   -7.045254,
-		"interfaces.":                                  -9.347839,
-		"internal":                                     -7.738401,
-		"internally":                                   -8.654692,
-		"internally.":                                  -9.347839,
-		"interpolating":                                -9.347839,
-		"interpreter":                                  -8.654692,
-		"interrupt":                                    -8.654692,
-		"interrupted":                                  -9.347839,
-		"into":                                         -6.403400,
-		"introduced":                                   -7.961545,
-		"inumber":                                      -9.347839,
-		"invalid":                                      -9.347839,
-		"involved":                                     -9.347839,
-		"ioflag":                                       -9.347839,
-		"is":                                           -4.212040,
-		"isolate":                                      -9.347839,
-		"issues":                                       -8.249227,
-		"it":                                           -5.882103,
-		"it.":                                          -8.654692,
-		"iteration":                                    -9.347839,
-		"iterative":                                    -9.347839,
-		"its":                                          -7.150614,
-		"itself.":                                      -8.654692,
-		"ix":                                           -9.347839,
-		"j":                                            -9.347839,
-		"jsr":                                          -8.249227,
-		"jump":                                         -9.347839,
-		"just":                                         -8.654692,
-		"kept":                                         -8.654692,
-		"kernel":                                       -8.249227,
-		"kernel.":                                      -9.347839,
-		"kill":                                         -8.654692,
-		"killed":                                       -8.654692,
-		"known":                                        -8.654692,
-		"l":                                            -9.347839,
-		"lab":                                          -8.249227,
-		"label":                                        -8.654692,
-		"labn":                                         -9.347839,
-		"lambda":                                       -8.654692,
-		"language":                                     -9.347839,
-		"large":                                        -9.347839,
-		"larger":                                       -9.347839,
-		"last":                                         -7.738401,
-		"later":                                        -8.654692,
-		"layer":                                        -7.961545,
-		"layer.":                                       -8.249227,
-		"layering.":                                    -9.347839,
-		"layers":                                       -8.654692,
-		"ld":                                           -9.347839,
-		"leading":                                      -9.347839,
-		"least":                                        -8.654692,
-		"left":                                         -7.961545,
-		"length":                                       -7.556079,
-		"length_":                                      -9.347839,
-		"less":                                         -8.654692,
-		"lesser":                                       -9.347839,
-		"letter":                                       -9.347839,
-		"letters":                                      -9.347839,
-		"level":                                        -8.249227,
-		"lf":                                           -9.347839,
-		"li":                                           -9.347839,
-		"library":                                      -9.347839,
-		"license.terms":                                -9.347839,
-		"like":                                         -7.738401,
-		"limitations":                                  -9.347839,
-		"line":                                         -7.556079,
-		"line.":                                        -8.654692,
-		"linear":                                       -9.347839,
-		"lines":                                        -6.575250,
-		"lines.":                                       -7.738401,
-		"link.":                                        -9.347839,
-		"links":                                        -9.347839,
-		"list":                                         -7.738401,
-		"listed":                                       -9.347839,
-		"lists":                                        -8.654692,
-		"little":                                       -9.347839,
-		"ll":                                           -9.347839,
-		"loading":                                      -9.347839,
-		"local":                                        -7.045254,
-		"locate":                                       -8.654692,
-		"located":                                      -8.249227,
-		"locates":                                      -9.347839,
-		"location":                                     -8.654692,
-		"location.":                                    -9.347839,
-		"lock":                                         -9.347839,
-		"locking":                                      -7.961545,
-		"log":                                          -8.654692,
-		"logical":                                      -8.654692,
-		"long":                                         -8.249227,
-		"looked":                                       -9.347839,
-		"lookup":                                       -6.708782,
-		"lookup.":                                      -9.347839,
-		"lookups":                                      -9.347839,
-		"lose":                                         -9.347839,
-		"lost.":                                        -9.347839,
-		"low":                                          -9.347839,
-		"lower":                                        -9.347839,
-		"lqA":                                          -9.347839,
-		"lqCan":                                        -9.347839,
-		"lqFunctional":                                 -9.347839,
-		"lqRecursive":                                  -9.347839,
-		"lqThe":                                        -9.347839,
-		"lqUNIX":                                       -9.347839,
-		"ls":                                           -9.347839,
-		"m":                                            -7.738401,
-		"mE":                                           -9.347839,
-		"mF":                                           -9.347839,
-		"mH":                                           -7.738401,
-		"mTu":                                          -9.347839,
-		"macro":                                        -9.347839,
-		"macros":                                       -8.654692,
-		"made":                                         -8.249227,
-		"made.":                                        -9.347839,
-		"maintained":                                   -8.654692,
-		"maintained.":                                  -9.347839,
-		"maintains":                                    -9.347839,
-		"major":                                        -8.249227,
-		"make":                                         -8.249227,
-		"makes":                                        -8.654692,
-		"making":                                       -9.347839,
-		"man":                                          -7.961545,
-		"management":                                   -9.347839,
-		"manipulation":                                 -9.347839,
-		"manner":                                       -9.347839,
-		"manual":                                       -8.249227,
-		"manual.":                                      -8.654692,
-		"many":                                         -8.654692,
-		"mapped":                                       -9.347839,
-		"mapping":                                      -8.654692,
-		"margin.":                                      -8.654692,
-		"margins.":                                     -9.347839,
-		"mark":                                         -9.347839,
-		"match":                                        -8.654692,
-		"maximum":                                      -8.249227,
-		"may":                                          -5.792491,
-		"means":                                        -9.347839,
-		"meantime":                                     -9.347839,
-		"mechanism":                                    -9.347839,
-		"mechanisms":                                   -9.347839,
-		"medium":                                       -9.347839,
-		"memory":                                       -7.150614,
-		"merged":                                       -9.347839,
-		"message":                                      -9.347839,
-		"messages":                                     -9.347839,
-		"met":                                          -9.347839,
-		"methods":                                      -9.347839,
-		"might":                                        -8.249227,
-		"minor":                                        -9.347839,
-		"minus":                                        -9.347839,
-		"missing":                                      -7.961545,
-		"mo":                                           -9.347839,
-		"mode":                                         -9.347839,
-		"model":                                        -9.347839,
-		"modification":                                 -8.654692,
-		"modification.":                                -9.347839,
-		"modifications":                                -9.347839,
-		"modified":                                     -7.556079,
-		"modified.":                                    -9.347839,
-		"modify":                                       -8.654692,
-		"modularity":                                   -8.654692,
-		"modularity.":                                  -9.347839,
-		"module":                                       -9.347839,
-		"modules":                                      -8.654692,
-		"moment":                                       -9.347839,
-		"moo":                                          -9.347839,
-		"more":                                         -7.150614,
-		"most":                                         -7.268397,
-		"mount":                                        -8.654692,
-		"mounting":                                     -8.654692,
-		"mov":                                          -9.347839,
-		"move":                                         -8.654692,
-		"moved":                                        -9.347839,
-		"movf":                                         -8.654692,
-		"mso":                                          -9.347839,
-		"mso_":                                         -9.347839,
-		"mu":                                           -8.654692,
-		"much":                                         -9.347839,
-		"multi":                                        -9.347839,
-		"multiple":                                     -7.961545,
-		"must":                                         -6.949944,
-		"n":                                            -5.030351,
-		"n.":                                           -8.654692,
-		"na":                                           -9.347839,
-		"nabla":                                        -8.654692,
-		"name":                                         -5.946642,
-		"name.":                                        -8.249227,
-		"named":                                        -7.738401,
-		"nameidata":                                    -9.347839,
-		"names":                                        -7.401929,
-		"nc_id":                                        -9.347839,
-		"ndp":                                          -9.347839,
-		"ne":                                           -9.347839,
-		"necessarily":                                  -9.347839,
-		"necessary":                                    -9.347839,
-		"needed.":                                      -9.347839,
-		"negative":                                     -9.347839,
-		"nel":                                          -9.347839,
-		"nested":                                       -8.654692,
-		"network":                                      -7.556079,
-		"never":                                        -9.347839,
-		"new":                                          -6.782890,
-		"newline":                                      -7.556079,
-		"newline.":                                     -9.347839,
-		"next":                                         -7.045254,
-		"next.":                                        -9.347839,
-		"nf":                                           -9.347839,
-		"nh":                                           -8.654692,
-		"ni":                                           -9.347839,
-		"ni_dirp":                                      -9.347839,
-		"ni_loopcnt":                                   -9.347839,
-		"ni_more":                                      -9.347839,
-		"ni_nameiop":                                   -9.347839,
-		"ni_pathlen":                                   -9.347839,
-		"ni_pnbuf":                                     -9.347839,
-		"ni_seg":                                       -9.347839,
-		"nm":                                           -9.347839,
-		"nn":                                           -9.347839,
-		"no":                                           -5.476638,
-		"node.":                                        -9.347839,
-		"non":                                          -7.961545,
-		"none":                                         -9.347839,
-		"nonetheless":                                  -9.347839,
-		"nonexistent":                                  -9.347839,
-		"nor":                                          -9.347839,
-		"normal":                                       -8.249227,
-		"normally":                                     -9.347839,
-		"not":                                          -6.052002,
-		"notably":                                      -9.347839,
-		"note":                                         -9.347839,
-		"notice":                                       -8.654692,
-		"novice":                                       -9.347839,
-		"now":                                          -9.347839,
-		"nr":                                           -9.347839,
-		"nr_":                                          -9.347839,
-		"nroff":                                        -9.347839,
-		"nu":                                           -8.654692,
-		"null":                                         -8.249227,
-		"null.":                                        -9.347839,
-		"number":                                       -6.303316,
-		"number.":                                      -8.654692,
-		"numbered":                                     -8.654692,
-		"numbered.":                                    -8.654692,
-		"numbers":                                      -7.961545,
-		"numbers.":                                     -8.654692,
-		"numeral":                                      -9.347839,
-		"numerical":                                    -9.347839,
-		"numerous":                                     -9.347839,
-		"nx":                                           -9.347839,
-		"o":                                            -9.347839,
-		"object":                                       -7.961545,
-		"objects":                                      -8.249227,
-		"objects.":                                     -8.654692,
-		"observation":                                  -9.347839,
-		"obtain":                                       -8.654692,
-		"obtained":                                     -9.347839,
-		"obtaining":                                    -9.347839,
-		"obvious":                                      -9.347839,
-		"occur":                                        -8.654692,
-		"occur.":                                       -9.347839,
-		"occurred":                                     -9.347839,
-		"occurred.":                                    -9.347839,
-		"occurs":                                       -7.738401,
-		"occurs.":                                      -8.654692,
-		"octal":                                        -9.347839,
-		"odd":                                          -9.347839,
-		"of":                                           -4.005505,
-		"off":                                          -7.556079,
-		"offp":                                         -9.347839,
-		"offset":                                       -7.961545,
-		"offset.":                                      -9.347839,
-		"often":                                        -9.347839,
-		"oh":                                           -9.347839,
-		"old":                                          -9.347839,
-		"older":                                        -9.347839,
-		"omega":                                        -8.654692,
-		"on":                                           -5.980543,
-		"on.":                                          -9.347839,
-		"once":                                         -8.249227,
-		"one":                                          -6.575250,
-		"only":                                         -7.401929,
-		"only.":                                        -9.347839,
-		"open":                                         -7.556079,
-		"openvt":                                       -9.347839,
-		"operates":                                     -9.347839,
-		"operating":                                    -8.249227,
-		"operation":                                    -7.045254,
-		"operation.":                                   -8.654692,
-		"operations":                                   -6.862932,
-		"operations.":                                  -9.347839,
-		"optimization":                                 -9.347839,
-		"optimizations.":                               -9.347839,
-		"option.":                                      -9.347839,
-		"optional":                                     -7.268397,
-		"optional.":                                    -9.347839,
-		"or":                                           -5.456019,
-		"ordinary":                                     -9.347839,
-		"organization.":                                -9.347839,
-		"oriented":                                     -9.347839,
-		"original":                                     -8.654692,
-		"originally":                                   -8.654692,
-		"other":                                        -6.949944,
-		"others":                                       -9.347839,
-		"others.":                                      -9.347839,
-		"otherwise":                                    -7.556079,
-		"out":                                          -7.738401,
-		"output":                                       -6.639789,
-		"output.":                                      -9.347839,
-		"outside":                                      -9.347839,
-		"over":                                         -8.249227,
-		"overflow":                                     -8.249227,
-		"overflows":                                    -8.654692,
-		"overhead.":                                    -9.347839,
-		"own":                                          -9.347839,
-		"ownership":                                    -8.654692,
-		"p":                                            -7.738401,
-		"p/bar.":                                       -9.347839,
-		"pa":                                           -9.347839,
-		"package":                                      -9.347839,
-		"padding":                                      -9.347839,
-		"page":                                         -6.708782,
-		"page.":                                        -7.556079,
-		"pages":                                        -8.249227,
-		"pages.":                                       -9.347839,
-		"pair":                                         -9.347839,
-		"paper":                                        -8.249227,
-		"parameter":                                    -9.347839,
-		"parameters":                                   -7.961545,
-		"parentheses":                                  -8.654692,
-		"parsed.":                                      -9.347839,
-		"parser":                                       -8.654692,
-		"part":                                         -8.249227,
-		"partial":                                      -8.654692,
-		"particular":                                   -8.654692,
-		"parts":                                        -7.961545,
-		"pass":                                         -7.401929,
-		"passed":                                       -8.654692,
-		"past.":                                        -9.347839,
-		"pathname":                                     -7.150614,
-		"pattern":                                      -8.249227,
-		"patterns":                                     -9.347839,
-		"pc":                                           -8.654692,
-		"pd":                                           -9.347839,
-		"per":                                          -7.150614,
-		"perform":                                      -9.347839,
-		"performance":                                  -7.961545,
-		"performance.":                                 -8.249227,
-		"performed":                                    -7.401929,
-		"performing":                                   -7.961545,
-		"performs":                                     -8.654692,
-		"permeates":                                    -9.347839,
-		"permission.":                                  -9.347839,
-		"permissions":                                  -8.654692,
-		"phasers":                                      -8.654692,
-		"phi":                                          -8.654692,
-		"philosophical":                                -9.347839,
-		"philosophies":                                 -9.347839,
-		"phoneme":                                      -7.961545,
-		"phonemes":                                     -9.347839,
-		"phototypesetter":                              -8.654692,
-		"phototypesetter.":                             -9.347839,
-		"physical":                                     -7.738401,
-		"pi":                                           -8.654692,
-		"picks":                                        -9.347839,
-		"piped":                                        -9.347839,
-		"pl":                                           -9.347839,
-		"place":                                        -8.249227,
-		"places":                                       -9.347839,
-		"plain":                                        -9.347839,
-		"play":                                         -9.347839,
-		"player":                                       -8.654692,
-		"pm":                                           -9.347839,
-		"pnr":                                          -9.347839,
-		"po":                                           -9.347839,
-		"point":                                        -7.961545,
-		"pointer":                                      -7.045254,
-		"pointers":                                     -9.347839,
-		"points":                                       -7.150614,
-		"points.":                                      -9.347839,
-		"pool":                                         -9.347839,
-		"popping":                                      -9.347839,
-		"portability":                                  -9.347839,
-		"portable":                                     -8.654692,
-		"position.":                                    -9.347839,
-		"positive":                                     -9.347839,
-		"possible":                                     -7.961545,
-		"possibly":                                     -9.347839,
-		"pow":                                          -7.738401,
-		"pre":                                          -9.347839,
-		"preceded":                                     -9.347839,
-		"precision":                                    -7.401929,
-		"preference.":                                  -9.347839,
-		"present":                                      -7.961545,
-		"presentation":                                 -8.654692,
-		"presented":                                    -8.249227,
-		"preserve":                                     -9.347839,
-		"previous":                                     -9.347839,
-		"previously":                                   -9.347839,
-		"primary":                                      -9.347839,
-		"primitive":                                    -9.347839,
-		"primitives":                                   -8.654692,
-		"print":                                        -9.347839,
-		"printed":                                      -7.401929,
-		"printed.":                                     -8.249227,
-		"printf":                                       -8.249227,
-		"printf.":                                      -9.347839,
-		"printing":                                     -7.556079,
-		"printing.":                                    -8.654692,
-		"prints":                                       -9.347839,
-		"prior":                                        -9.347839,
-		"private":                                      -8.654692,
-		"probably":                                     -9.347839,
-		"problem":                                      -8.249227,
-		"problem.":                                     -9.347839,
-		"problems":                                     -7.738401,
-		"problems.":                                    -9.347839,
-		"procedures":                                   -9.347839,
-		"process":                                      -6.639789,
-		"process.":                                     -9.347839,
-		"processed":                                    -8.654692,
-		"processes":                                    -8.654692,
-		"processing.":                                  -9.347839,
-		"produced.":                                    -9.347839,
-		"programs.":                                    -9.347839,
-		"prologue":                                     -9.347839,
-		"prominent":                                    -9.347839,
-		"promoted":                                     -9.347839,
-		"promotion":                                    -9.347839,
-		"properly":                                     -9.347839,
-		"properties":                                   -8.654692,
-		"proposal":                                     -8.654692,
-		"proposed":                                     -9.347839,
-		"protected":                                    -9.347839,
-		"provide":                                      -8.654692,
-		"provided":                                     -8.249227,
-		"provides":                                     -7.738401,
-		"psi":                                          -8.654692,
-		"public":                                       -9.347839,
-		"published":                                    -9.347839,
-		"purged":                                       -9.347839,
-		"put":                                          -7.738401,
-		"putchar":                                      -9.347839,
-		"putchar.":                                     -9.347839,
-		"q.v.":                                         -9.347839,
-		"qsort":                                        -8.249227,
-		"quantity.":                                    -9.347839,
-		"question":                                     -9.347839,
-		"quicker":                                      -8.654692,
-		"quit":                                         -9.347839,
-		"quite":                                        -9.347839,
-		"quotes":                                       -9.347839,
-		"quotes.":                                      -9.347839,
-		"quux.":                                        -9.347839,
-		"r":                                            -6.352107,
-		"ra":                                           -8.249227,
-		"radio":                                        -8.654692,
-		"random":                                       -9.347839,
-		"range":                                        -7.150614,
-		"rate":                                         -9.347839,
-		"rather":                                       -7.045254,
-		"raw":                                          -8.249227,
-		"rchar":                                        -9.347839,
-		"rchar_":                                       -9.347839,
-		"rd":                                           -9.347839,
-		"reached":                                      -8.249227,
-		"reached.":                                     -8.654692,
-		"read":                                         -7.045254,
-		"read.":                                        -9.347839,
-		"reading":                                      -9.347839,
-		"reads":                                        -9.347839,
-		"reasons":                                      -8.654692,
-		"receipt":                                      -9.347839,
-		"received":                                     -8.654692,
-		"recent":                                       -9.347839,
-		"recognizable":                                 -9.347839,
-		"recompilation":                                -9.347839,
-		"recursive":                                    -8.654692,
-		"redesigned":                                   -9.347839,
-		"redundant":                                    -9.347839,
-		"reentrancy":                                   -9.347839,
-		"reference":                                    -6.862932,
-		"reference.":                                   -9.347839,
-		"references":                                   -7.738401,
-		"reflecting":                                   -9.347839,
-		"region":                                       -9.347839,
-		"registered":                                   -9.347839,
-		"registers":                                    -9.347839,
-		"related":                                      -8.249227,
-		"relation":                                     -9.347839,
-		"released":                                     -9.347839,
-		"remain":                                       -9.347839,
-		"remainder":                                    -9.347839,
-		"remaining":                                    -8.654692,
-		"remains":                                      -9.347839,
-		"remote":                                       -7.150614,
-		"remove":                                       -9.347839,
-		"removed.":                                     -9.347839,
-		"repeat":                                       -9.347839,
-		"repeated":                                     -9.347839,
-		"replaced":                                     -7.961545,
-		"replacement":                                  -9.347839,
-		"replaces":                                     -7.961545,
-		"representation":                               -9.347839,
-		"representing":                                 -9.347839,
-		"represents":                                   -9.347839,
-		"reproduce":                                    -9.347839,
-		"reproducible":                                 -9.347839,
-		"request":                                      -7.738401,
-		"requested.":                                   -9.347839,
-		"requests":                                     -9.347839,
-		"require":                                      -8.654692,
-		"required.":                                    -9.347839,
-		"requires":                                     -9.347839,
-		"research":                                     -8.654692,
-		"reserved":                                     -8.654692,
-		"reserved.":                                    -8.654692,
-		"resolved":                                     -9.347839,
-		"responsible":                                  -9.347839,
-		"rest":                                         -8.654692,
-		"restart":                                      -8.654692,
-		"restored":                                     -9.347839,
-		"restriction":                                  -9.347839,
-		"result":                                       -7.738401,
-		"resulting":                                    -9.347839,
-		"resumed":                                      -9.347839,
-		"retain":                                       -7.961545,
-		"retrieved":                                    -9.347839,
-		"return":                                       -7.045254,
-		"returned":                                     -8.654692,
-		"returns":                                      -7.738401,
-		"returns.":                                     -9.347839,
-		"reusable":                                     -9.347839,
-		"rho":                                          -8.654692,
-		"right":                                        -8.249227,
-		"rights":                                       -8.654692,
-		"rm":                                           -7.401929,
-		"rn":                                           -8.249227,
-		"ro":                                           -9.347839,
-		"robustness":                                   -9.347839,
-		"roff":                                         -8.249227,
-		"room":                                         -9.347839,
-		"root":                                         -9.347839,
-		"routine":                                      -7.401929,
-		"routine.":                                     -8.249227,
-		"routines":                                     -7.556079,
-		"routines.":                                    -9.347839,
-		"rq":                                           -7.556079,
-		"rr":                                           -9.347839,
-		"rr_":                                          -9.347839,
-		"rule":                                         -9.347839,
-		"run":                                          -8.654692,
-		"s":                                            -5.792491,
-		"same":                                         -7.556079,
-		"satisfy":                                      -9.347839,
-		"scan":                                         -9.347839,
-		"scan.":                                        -9.347839,
-		"scans":                                        -9.347839,
-		"scheme":                                       -8.249227,
-		"scheme.":                                      -9.347839,
-		"scope":                                        -9.347839,
-		"score":                                        -9.347839,
-		"scored":                                       -9.347839,
-		"scoring":                                      -9.347839,
-		"script.":                                      -9.347839,
-		"searched.":                                    -9.347839,
-		"searching":                                    -9.347839,
-		"second":                                       -8.249227,
-		"section":                                      -7.738401,
-		"sections":                                     -8.654692,
-		"see":                                          -9.347839,
-		"seems":                                        -9.347839,
-		"seen":                                         -8.654692,
-		"segment":                                      -9.347839,
-		"select":                                       -9.347839,
-		"semantic":                                     -8.654692,
-		"semaphores":                                   -9.347839,
-		"sensors":                                      -8.654692,
-		"sent":                                         -9.347839,
-		"separate":                                     -7.738401,
-		"separated":                                    -8.654692,
-		"separating":                                   -9.347839,
-		"separation":                                   -9.347839,
-		"separator":                                    -9.347839,
-		"sequence":                                     -8.249227,
-		"sequence.":                                    -9.347839,
-		"sequences":                                    -9.347839,
-		"sequencing":                                   -9.347839,
-		"sequential":                                   -9.347839,
-		"sequentially":                                 -8.654692,
-		"server":                                       -9.347839,
-		"serves":                                       -9.347839,
-		"set":                                          -7.045254,
-		"setting":                                      -9.347839,
-		"settings":                                     -9.347839,
-		"settings.":                                    -9.347839,
-		"several":                                      -7.738401,
-		"severely":                                     -9.347839,
-		"share":                                        -9.347839,
-		"shared":                                       -9.347839,
-		"shell":                                        -7.961545,
-		"shell.":                                       -9.347839,
-		"shields":                                      -9.347839,
-		"shift":                                        -9.347839,
-		"short":                                        -7.961545,
-		"should":                                       -7.045254,
-		"shuttlecraft":                                 -9.347839,
-		"side":                                         -9.347839,
-		"sigma":                                        -8.654692,
-		"sign":                                         -9.347839,
-		"signal":                                       -8.654692,
-		"signal.":                                      -9.347839,
-		"signals.":                                     -9.347839,
-		"significance":                                 -9.347839,
-		"signs":                                        -9.347839,
-		"similar":                                      -7.961545,
-		"similarly":                                    -9.347839,
-		"simple":                                       -9.347839,
-		"simply":                                       -8.654692,
-		"since":                                        -9.347839,
-		"single":                                       -6.862932,
-		"sixteen":                                      -9.347839,
-		"size":                                         -8.654692,
-		"sk":                                           -9.347839,
-		"sleep/wakeup":                                 -9.347839,
-		"slightly":                                     -9.347839,
-		"small":                                        -9.347839,
-		"smaller":                                      -9.347839,
-		"so":                                           -7.556079,
-		"so.":                                          -9.347839,
-		"so_":                                          -9.347839,
-		"soft":                                         -8.654692,
-		"software":                                     -9.347839,
-		"some":                                         -8.249227,
-		"somewhat":                                     -7.961545,
-		"sort":                                         -8.249227,
-		"sorted":                                       -9.347839,
-		"source":                                       -8.654692,
-		"sp":                                           -9.347839,
-		"space":                                        -7.556079,
-		"space.":                                       -8.654692,
-		"spaces":                                       -8.249227,
-		"spaces.":                                      -8.654692,
-		"spacing":                                      -9.347839,
-		"speak":                                        -9.347839,
-		"spec.":                                        -9.347839,
-		"special":                                      -9.347839,
-		"specially":                                    -9.347839,
-		"specific":                                     -7.268397,
-		"specification":                                -7.738401,
-		"specifications":                               -9.347839,
-		"specifications.":                              -9.347839,
-		"specified":                                    -8.249227,
-		"specify":                                      -9.347839,
-		"spread":                                       -9.347839,
-		"src/games/trek/DOC/trekmanual.nr":             -9.347839,
-		"ss":                                           -9.347839,
-		"stack":                                        -9.347839,
-		"standard":                                     -7.961545,
-		"star":                                         -9.347839,
-		"starbase":                                     -8.654692,
-		"stardate":                                     -9.347839,
-		"stars.":                                       -9.347839,
-		"starsystem":                                   -8.654692,
-		"start":                                        -8.654692,
-		"started":                                      -9.347839,
-		"starting":                                     -9.347839,
-		"startup":                                      -9.347839,
-		"stat":                                         -8.654692,
-		"state":                                        -9.347839,
-		"stateless":                                    -9.347839,
-		"statelessness":                                -9.347839,
-		"status":                                       -7.961545,
-		"stop":                                         -8.249227,
-		"stop.":                                        -9.347839,
-		"stopped.":                                     -9.347839,
-		"storage":                                      -9.347839,
-		"stored":                                       -8.249227,
-		"strangely":                                    -9.347839,
-		"stream":                                       -9.347839,
-		"strength":                                     -9.347839,
-		"string":                                       -7.150614,
-		"string.":                                      -8.249227,
-		"strings":                                      -8.654692,
-		"strip":                                        -8.654692,
-		"struct":                                       -6.403400,
-		"structure":                                    -6.782890,
-		"structure.":                                   -7.401929,
-		"structures":                                   -8.654692,
-		"study":                                        -9.347839,
-		"style":                                        -7.961545,
-		"stylized":                                     -8.249227,
-		"subroutine":                                   -8.654692,
-		"subsequent":                                   -8.654692,
-		"subspace":                                     -8.654692,
-		"substitution":                                 -7.556079,
-		"substitutions":                                -8.249227,
-		"subsystem":                                    -9.347839,
-		"success.":                                     -9.347839,
-		"successful":                                   -9.347839,
-		"successive":                                   -9.347839,
-		"such":                                         -7.268397,
-		"suffers":                                      -8.654692,
-		"sufficiently":                                 -9.347839,
-		"suffix":                                       -9.347839,
-		"suitable":                                     -9.347839,
-		"suitably":                                     -9.347839,
-		"summarized":                                   -9.347839,
-		"superblocks":                                  -9.347839,
-		"supply":                                       -9.347839,
-		"support":                                      -7.961545,
-		"supported":                                    -9.347839,
-		"supposed":                                     -9.347839,
-		"suppressed.":                                  -9.347839,
-		"swap":                                         -9.347839,
-		"switch":                                       -7.738401,
-		"swtab":                                        -8.654692,
-		"symbol":                                       -8.249227,
-		"symbolic":                                     -8.654692,
-		"symbols":                                      -8.249227,
-		"synchronization":                              -8.654692,
-		"synchronize":                                  -9.347839,
-		"syntaX":                                       -9.347839,
-		"syntactic":                                    -9.347839,
-		"synthesizer":                                  -9.347839,
-		"sys":                                          -9.347839,
-		"system":                                       -6.403400,
-		"system.":                                      -7.961545,
-		"systems":                                      -7.268397,
-		"systems.":                                     -7.961545,
-		"t":                                            -7.045254,
-		"t.":                                           -8.249227,
-		"ta":                                           -9.347839,
-		"tab":                                          -8.249227,
-		"table":                                        -8.249227,
-		"table.":                                       -8.654692,
-		"tables":                                       -9.347839,
-		"tabs":                                         -8.654692,
-		"take":                                         -9.347839,
-		"taken":                                        -8.249227,
-		"takes":                                        -9.347839,
-		"target":                                       -7.961545,
-		"targetted":                                    -8.654692,
-		"tau":                                          -8.654692,
-		"tc":                                           -9.347839,
-		"temporary":                                    -7.961545,
-		"tends":                                        -9.347839,
-		"terminated":                                   -8.654692,
-		"terminates":                                   -8.654692,
-		"termination.":                                 -9.347839,
-		"terminator.":                                  -9.347839,
-		"test":                                         -9.347839,
-		"text":                                         -7.150614,
-		"text.":                                        -8.654692,
-		"th":                                           -9.347839,
-		"than":                                         -6.708782,
-		"that":                                         -5.519197,
-		"the":                                          -3.107563,
-		"their":                                        -8.654692,
-		"them":                                         -7.150614,
-		"them.":                                        -8.654692,
-		"then":                                         -7.268397,
-		"there":                                        -7.556079,
-		"therefore":                                    -9.347839,
-		"these":                                        -6.575250,
-		"theta":                                        -8.654692,
-		"they":                                         -7.961545,
-		"third":                                        -9.347839,
-		"this":                                         -6.256796,
-		"those":                                        -7.961545,
-		"three":                                        -7.556079,
-		"through":                                      -8.654692,
-		"throughout":                                   -9.347839,
-		"thus":                                         -8.249227,
-		"ti":                                           -9.347839,
-		"time":                                         -6.782890,
-		"time.":                                        -8.654692,
-		"times":                                        -9.347839,
-		"timestamps":                                   -8.654692,
-		"title":                                        -7.738401,
-		"title.":                                       -8.654692,
-		"titles":                                       -8.249227,
-		"tm":                                           -9.347839,
-		"tm_":                                          -8.249227,
-		"tmc":                                          -9.347839,
-		"to":                                           -4.217940,
-		"to/from":                                      -9.347839,
-		"together":                                     -9.347839,
-		"too":                                          -8.654692,
-		"top":                                          -9.347839,
-		"torpedo":                                      -9.347839,
-		"torpedoes":                                    -9.347839,
-		"tr":                                           -9.347839,
-		"tracker":                                      -9.347839,
-		"trademark":                                    -8.654692,
-		"transferred":                                  -9.347839,
-		"transient":                                    -9.347839,
-		"translate":                                    -9.347839,
-		"translated":                                   -9.347839,
-		"translates":                                   -8.654692,
-		"translation":                                  -6.949944,
-		"translation.":                                 -9.347839,
-		"translations":                                 -9.347839,
-		"transparent":                                  -9.347839,
-		"transport":                                    -9.347839,
-		"transporter":                                  -9.347839,
-		"treat":                                        -9.347839,
-		"treated":                                      -7.961545,
-		"triggering":                                   -9.347839,
-		"troff":                                        -9.347839,
-		"truncation":                                   -9.347839,
-		"tubes":                                        -9.347839,
-		"turn":                                         -9.347839,
-		"turned":                                       -9.347839,
-		"twenty":                                       -9.347839,
-		"two":                                          -6.949944,
-		"type":                                         -6.949944,
-		"typed":                                        -8.249227,
-		"typedefs":                                     -9.347839,
-		"types":                                        -7.556079,
-		"types.":                                       -8.654692,
-		"typewriter":                                   -9.347839,
-		"typewriter.":                                  -9.347839,
-		"u":                                            -6.403400,
-		"u_short":                                      -7.961545,
-		"ucred":                                        -9.347839,
-		"uio_seg":                                      -9.347839,
-		"uiop":                                         -9.347839,
-		"ul":                                           -9.347839,
-		"un":                                           -9.347839,
-		"unacceptable.":                                -9.347839,
-		"undefined":                                    -9.347839,
-		"under":                                        -8.654692,
-		"underlying":                                   -8.654692,
-		"understood":                                   -8.654692,
-		"unexpectedly":                                 -9.347839,
-		"uniform":                                      -9.347839,
-		"union.":                                       -9.347839,
-		"unique":                                       -9.347839,
-		"university":                                   -9.347839,
-		"unknown":                                      -8.249227,
-		"unknown.":                                     -9.347839,
-		"unlike":                                       -9.347839,
-		"unmodified":                                   -9.347839,
-		"unmodified.":                                  -9.347839,
-		"unsigned":                                     -9.347839,
-		"until":                                        -7.961545,
-		"up":                                           -7.556079,
-		"update":                                       -9.347839,
-		"upon":                                         -7.556079,
-		"upper":                                        -7.961545,
-		"uppercase":                                    -9.347839,
-		"us.":                                          -9.347839,
-		"use":                                          -6.352107,
-		"used":                                         -6.128963,
-		"user":                                         -7.150614,
-		"user.":                                        -9.347839,
-		"uses":                                         -7.961545,
-		"using":                                        -7.268397,
-		"v":                                            -9.347839,
-		"v_count":                                      -9.347839,
-		"v_data":                                       -9.347839,
-		"v_exlockc":                                    -9.347839,
-		"v_flag":                                       -9.347839,
-		"v_shlockc":                                    -9.347839,
-		"v_type":                                       -9.347839,
-		"val":                                          -8.654692,
-		"valid":                                        -9.347839,
-		"validating":                                   -9.347839,
-		"valn":                                         -9.347839,
-		"value":                                        -6.708782,
-		"values":                                       -9.347839,
-		"vap":                                          -9.347839,
-		"variable":                                     -7.556079,
-		"varieties":                                    -9.347839,
-		"various":                                      -7.961545,
-		"varying":                                      -7.738401,
-		"verbatim.":                                    -9.347839,
-		"version":                                      -7.556079,
-		"versions":                                     -8.654692,
-		"very":                                         -8.654692,
-		"vfs":                                          -8.654692,
-		"vfsops":                                       -9.347839,
-		"views":                                        -9.347839,
-		"violation":                                    -9.347839,
-		"violations":                                   -9.347839,
-		"virtual":                                      -7.268397,
-		"vnode":                                        -6.052002,
-		"vnode.":                                       -9.347839,
-		"vnodeops":                                     -8.249227,
-		"vnodes":                                       -7.738401,
-		"voice":                                        -9.347839,
-		"von":                                          -9.347839,
-		"vp":                                           -9.347839,
-		"vs":                                           -8.249227,
-		"vsp":                                          -8.654692,
-		"vt":                                           -7.961545,
-		"vtype":                                        -8.654692,
-		"w":                                            -6.949944,
-		"warp":                                         -8.654692,
-		"warp_factor":                                  -9.347839,
-		"was":                                          -7.961545,
-		"ways":                                         -9.347839,
-		"ways.":                                        -9.347839,
-		"we":                                           -9.347839,
-		"well":                                         -7.738401,
-		"well.":                                        -9.347839,
-		"were":                                         -7.556079,
-		"when":                                         -6.862932,
-		"whence":                                       -9.347839,
-		"where":                                        -8.654692,
-		"whether":                                      -8.654692,
-		"which":                                        -6.303316,
-		"while":                                        -9.347839,
-		"whose":                                        -9.347839,
-		"wide":                                         -8.654692,
-		"widely":                                       -9.347839,
-		"width":                                        -7.738401,
-		"width.":                                       -9.347839,
-		"will":                                         -5.882103,
-		"willing":                                      -9.347839,
-		"win":                                          -9.347839,
-		"with":                                         -5.736921,
-		"within":                                       -7.150614,
-		"without":                                      -7.401929,
-		"word":                                         -7.401929,
-		"word.":                                        -9.347839,
-		"words":                                        -8.249227,
-		"work":                                         -8.654692,
-		"works":                                        -9.347839,
-		"worth":                                        -9.347839,
-		"would":                                        -8.654692,
-		"write":                                        -8.249227,
-		"writes":                                       -9.347839,
-		"writing.":                                     -9.347839,
-		"written":                                      -7.401929,
-		"written.":                                     -9.347839,
-		"wrong":                                        -9.347839,
-		"x":                                            -6.708782,
-		"xi":                                           -8.654692,
-		"xx":                                           -9.347839,
-		"y":                                            -6.708782,
-		"y.":                                           -8.654692,
-		"yes":                                          -6.639789,
-		"yet":                                          -8.654692,
-		"you":                                          -7.150614,
-		"your":                                         -9.347839,
-		"zero":                                         -8.654692,
-		"zeta":                                         -8.654692,
-		"{":                                            -6.949944,
-		"|":                                            -5.304788,
-		"||":                                           -9.347839,
-		"}":                                            -7.401929,
-		"\xad":                                         -9.347839,
-		"\xe5":                                         -9.347839,
+		"\b":                                   -5.575540,
+		"\x1b":                                 -8.493310,
+		"!":                                    -5.819162,
+		"#":                                    -7.800163,
+		"##":                                   -9.186457,
+		"#define":                              -6.701551,
+		"#x":                                   -8.493310,
+		"#xA":                                  -9.186457,
+		"$":                                    -6.478407,
+		"%":                                    -7.394698,
+		"&":                                    -5.126014,
+		"'":                                    -7.577020,
+		"(":                                    -4.086591,
+		")":                                    -4.358144,
+		"*":                                    -5.379795,
+		"*/":                                   -9.186457,
+		"*base":                                -9.186457,
+		"*compar":                              -9.186457,
+		"*format":                              -9.186457,
+		"*nc_prevdir":                          -7.800163,
+		"*ni_cdir":                             -9.186457,
+		"*ni_cred":                             -9.186457,
+		"*ni_dvp":                              -9.186457,
+		"*ni_ptr":                              -9.186457,
+		"*ni_rdir":                             -9.186457,
+		"*ni_vp":                               -9.186457,
+		"*v_op":                                -9.186457,
+		"*v_text":                              -9.186457,
+		"*v_vfsmountedhere":                    -8.493310,
+		"*v_vfsp":                              -9.186457,
+		"*vfs_vnodecovered":                    -9.186457,
+		"*vn_abortop":                          -9.186457,
+		"*vn_access":                           -9.186457,
+		"*vn_close":                            -9.186457,
+		"*vn_create":                           -9.186457,
+		"*vn_fsync":                            -9.186457,
+		"*vn_getattr":                          -9.186457,
+		"*vn_inactive":                         -9.186457,
+		"*vn_ioctl":                            -9.186457,
+		"*vn_link":                             -9.186457,
+		"*vn_lock":                             -9.186457,
+		"*vn_lookup":                           -9.186457,
+		"*vn_mkdir":                            -9.186457,
+		"*vn_mknod":                            -9.186457,
+		"*vn_mmap":                             -9.186457,
+		"*vn_open":                             -9.186457,
+		"*vn_read":                             -9.186457,
+		"*vn_readdir":                          -9.186457,
+		"*vn_readlink":                         -9.186457,
+		"*vn_remove":                           -9.186457,
+		"*vn_rename":                           -9.186457,
+		"*vn_rmdir":                            -9.186457,
+		"*vn_seek":                             -9.186457,
+		"*vn_select":                           -9.186457,
+		"*vn_setattr":                          -9.186457,
+		"*vn_symlink":                          -9.186457,
+		"*vn_unlock":                           -9.186457,
+		"*vn_write":                            -9.186457,
+		"+":                                    -5.126014,
+		",":                                    -3.334255,
+		"-":                                    -4.209724,
+		".":                                    -4.068464,
+		"..":                                   -6.413869,
+		"...":                                  -6.883872,
+		"../man":                               -8.493310,
+		".AB":                                  -9.186457,
+		".AI":                                  -9.186457,
+		".AU":                                  -9.186457,
+		".CE":                                  -9.186457,
+		".CS":                                  -9.186457,
+		".DE":                                  -7.577020,
+		".DS":                                  -8.087845,
+		".Dd":                                  -9.186457,
+		".El":                                  -9.186457,
+		".F":                                   -8.493310,
+		".FE":                                  -8.493310,
+		".FF":                                  -9.186457,
+		".FS":                                  -8.087845,
+		".ID":                                  -9.186457,
+		".IP":                                  -6.095415,
+		".It":                                  -6.989233,
+		".LP":                                  -7.800163,
+		".NS":                                  -9.186457,
+		".PP":                                  -5.928361,
+		".PQ":                                  -8.087845,
+		".RE":                                  -7.800163,
+		".RS":                                  -8.087845,
+		".SH":                                  -7.577020,
+		".Sh":                                  -8.087845,
+		".TL":                                  -9.186457,
+		".TP":                                  -6.621508,
+		".UX":                                  -6.701551,
+		".bd":                                  -8.087845,
+		".bp":                                  -7.800163,
+		".br":                                  -6.788562,
+		".c":                                   -8.493310,
+		".ce":                                  -8.087845,
+		".de":                                  -7.394698,
+		".el":                                  -9.186457,
+		".fi":                                  -7.577020,
+		".ft":                                  -7.394698,
+		".g":                                   -9.186457,
+		".he":                                  -8.087845,
+		".i":                                   -9.186457,
+		".ie":                                  -9.186457,
+		".if":                                  -8.087845,
+		".in":                                  -7.577020,
+		".ip":                                  -7.394698,
+		".it":                                  -6.547400,
+		".li":                                  -8.087845,
+		".lp":                                  -7.577020,
+		".na":                                  -9.186457,
+		".ne":                                  -9.186457,
+		".nf":                                  -7.577020,
+		".nr":                                  -8.493310,
+		".pa":                                  -8.087845,
+		".po":                                  -9.186457,
+		".pp":                                  -8.087845,
+		".s":                                   -6.190725,
+		".sh":                                  -6.141935,
+		".so":                                  -8.493310,
+		".sp":                                  -5.854253,
+		".ta":                                  -7.577020,
+		".tc":                                  -8.493310,
+		".th":                                  -7.800163,
+		".ti":                                  -6.050963,
+		".tr":                                  -7.800163,
+		".ul":                                  -8.493310,
+		".xx":                                  -5.472885,
+		"/":                                    -6.242018,
+		"/*":                                   -9.186457,
+		"/dev/vt":                              -9.186457,
+		"/etc/suftab":                          -9.186457,
+		"/foo.":                                -9.186457,
+		"/lib/liba.a":                          -9.186457,
+		"/lib/libp.a":                          -9.186457,
+		"/tmp/rtm":                             -9.186457,
+		"/usr/man/man":                         -9.186457,
+		":":                                    -6.008404,
+		";":                                    -4.532497,
+		"</a>":                                 -7.800163,
+		"</script>":                            -9.186457,
+		"<`,>":                                 -8.087845,
+		"<a>":                                  -7.800163,
+		"<esr@thyrsus.com>":                    -9.186457,
+		"<https://github.com/Alhadis/Roff.js>": -9.186457,
+		"<https://github.com/mathiasbynens/he/issues>": -9.186457,
+		"<https://mathiasbynens.be/>":                  -9.186457,
+		"<https://mths.be/he>":                         -9.186457,
+		"<newline>":                                    -9.186457,
+		"<script>":                                     -9.186457,
+		"<wl@gnu.org>":                                 -9.186457,
+		"=":                                            -5.294637,
+		">":                                            -8.493310,
+		"?":                                            -8.087845,
+		"@":                                            -9.186457,
+		"A":                                            -6.095415,
+		"ABANDON":                                      -9.186457,
+		"ALL":                                          -9.186457,
+		"ALSO":                                         -7.800163,
+		"AND":                                          -8.087845,
+		"ANY":                                          -8.493310,
+		"ARISING":                                      -9.186457,
+		"AS":                                           -9.186457,
+		"ASCII":                                        -9.186457,
+		"AT":                                           -6.989233,
+		"AUTHOR":                                       -9.186457,
+		"Abbreviation":                                 -9.186457,
+		"Accordingly":                                  -9.186457,
+		"Additionally":                                 -9.186457,
+		"After":                                        -9.186457,
+		"Agreement":                                    -9.186457,
+		"Algebra":                                      -9.186457,
+		"All":                                          -7.577020,
+		"Also":                                         -8.493310,
+		"Although":                                     -7.394698,
+		"An":                                           -8.493310,
+		"Angeles":                                      -8.493310,
+		"Another":                                      -8.493310,
+		"Any":                                          -9.186457,
+		"Applicative":                                  -9.186457,
+		"April":                                        -8.493310,
+		"Arabic":                                       -8.493310,
+		"As":                                           -6.883872,
+		"At":                                           -9.186457,
+		"Audible":                                      -9.186457,
+		"August":                                       -9.186457,
+		"Automatic":                                    -9.186457,
+		"Award":                                        -9.186457,
+		"B":                                            -7.240547,
+		"BEGINS":                                       -9.186457,
+		"BSD":                                          -6.788562,
+		"BSD.":                                         -8.087845,
+		"BUGS":                                         -7.107016,
+		"BUSINESS":                                     -9.186457,
+		"BY":                                           -9.186457,
+		"Babaoglu":                                     -9.186457,
+		"Backslash":                                    -8.493310,
+		"Backspace":                                    -9.186457,
+		"Backus":                                       -9.186457,
+		"Basically":                                    -9.186457,
+		"Be":                                           -9.186457,
+		"Begin":                                        -8.087845,
+		"Berkeley":                                     -6.989233,
+		"Both":                                         -7.800163,
+		"Break":                                        -9.186457,
+		"Brownbridge":                                  -9.186457,
+		"Bynens":                                       -9.186457,
+		"C":                                            -7.800163,
+		"CApture":                                      -9.186457,
+		"CB":                                           -9.186457,
+		"CI":                                           -9.186457,
+		"CLoak":                                        -9.186457,
+		"COMPATIBILITY":                                -9.186457,
+		"CONSEQUENTIAL":                                -9.186457,
+		"CONTRIBUTORS":                                 -9.186457,
+		"CR":                                           -9.186457,
+		"CREATE":                                       -9.186457,
+		"California":                                   -6.989233,
+		"California.":                                  -9.186457,
+		"Carnegie":                                     -9.186457,
+		"Carriage":                                     -9.186457,
+		"Causes":                                       -9.186457,
+		"Change":                                       -9.186457,
+		"Characters":                                   -9.186457,
+		"Check":                                        -9.186457,
+		"Cole":                                         -9.186457,
+		"Command":                                      -8.493310,
+		"Commands":                                     -9.186457,
+		"Compatibility":                                -9.186457,
+		"Compatible":                                   -9.186457,
+		"Computation":                                  -9.186457,
+		"Computer":                                     -7.577020,
+		"Conference":                                   -9.186457,
+		"Connection":                                   -9.186457,
+		"Consumes":                                     -9.186457,
+		"Control":                                      -9.186457,
+		"Copyright":                                    -9.186457,
+		"Corp.":                                        -9.186457,
+		"Criteria":                                     -9.186457,
+		"D":                                            -8.087845,
+		"DAMAGE.":                                      -9.186457,
+		"DATA":                                         -9.186457,
+		"DAmages":                                      -9.186457,
+		"DEC":                                          -7.577020,
+		"DESCRIPTION":                                  -7.107016,
+		"DESTRUCT":                                     -9.186457,
+		"DIAGNOSTICS":                                  -8.087845,
+		"DIRECT":                                       -9.186457,
+		"DISCLAIMER":                                   -9.186457,
+		"DOck":                                         -9.186457,
+		"Declare":                                      -9.186457,
+		"Department":                                   -9.186457,
+		"Design":                                       -9.186457,
+		"Differences":                                  -9.186457,
+		"Digital":                                      -8.087845,
+		"Division":                                     -8.493310,
+		"Dorab":                                        -8.493310,
+		"DragonFly":                                    -9.186457,
+		"EE":                                           -9.186457,
+		"EXAMPLES":                                     -9.186457,
+		"EXEMPLARY":                                    -9.186457,
+		"Each":                                         -6.989233,
+		"Edition":                                      -9.186457,
+		"Eighth":                                       -9.186457,
+		"Electrical":                                   -9.186457,
+		"Enable":                                       -9.186457,
+		"Encountering":                                 -9.186457,
+		"End":                                          -8.087845,
+		"Engineering":                                  -9.186457,
+		"Enterprise":                                   -9.186457,
+		"Equipment":                                    -8.493310,
+		"European":                                     -9.186457,
+		"Exp":                                          -9.186457,
+		"FILES":                                        -7.577020,
+		"FITNESS":                                      -9.186457,
+		"FOR":                                          -8.493310,
+		"FREAD":                                        -9.186457,
+		"FSS":                                          -8.493310,
+		"FSS.":                                         -9.186457,
+		"FWRITE":                                       -9.186457,
+		"File":                                         -7.577020,
+		"Filesystem":                                   -8.493310,
+		"Filesystems":                                  -9.186457,
+		"Foderaro":                                     -9.186457,
+		"Following":                                    -9.186457,
+		"For":                                          -6.621508,
+		"Form":                                         -9.186457,
+		"Functional":                                   -9.186457,
+		"Functions":                                    -9.186457,
+		"GFS":                                          -7.394698,
+		"Generic":                                      -9.186457,
+		"Given":                                        -9.186457,
+		"Group":                                        -9.186457,
+		"HACKERY":                                      -9.186457,
+		"HELP":                                         -9.186457,
+		"HP":                                           -9.186457,
+		"HTML":                                         -6.883872,
+		"HTML.":                                        -9.186457,
+		"HY":                                           -8.087845,
+		"Head":                                         -9.186457,
+		"However":                                      -7.800163,
+		"Hyphenation":                                  -9.186457,
+		"I":                                            -7.800163,
+		"I/O":                                          -8.493310,
+		"ID":                                           -8.087845,
+		"III":                                          -7.577020,
+		"IMPLIED":                                      -9.186457,
+		"IN":                                           -9.186457,
+		"INCIDENTAL":                                   -9.186457,
+		"INCLUDING":                                    -9.186457,
+		"INCORRIGIBLE":                                 -9.186457,
+		"INDIRECT":                                     -9.186457,
+		"INTERRUPTION":                                 -9.186457,
+		"IO":                                           -9.186457,
+		"IO_APPEND":                                    -9.186457,
+		"IO_SYNC":                                      -9.186457,
+		"IO_UNIT":                                      -9.186457,
+		"IS":                                           -8.493310,
+		"IV":                                           -8.493310,
+		"If":                                           -6.413869,
+		"Ignore":                                       -9.186457,
+		"Implicit":                                     -9.186457,
+		"Impulse":                                      -9.186457,
+		"In":                                           -6.989233,
+		"Inc.":                                         -9.186457,
+		"Incoming":                                     -9.186457,
+		"Indent":                                       -9.186457,
+		"Initial":                                      -8.493310,
+		"Inodes":                                       -9.186457,
+		"Insert":                                       -8.493310,
+		"Instead":                                      -9.186457,
+		"Intent":                                       -9.186457,
+		"Interface":                                    -9.186457,
+		"Interpreter":                                  -9.186457,
+		"It":                                           -7.577020,
+		"Its":                                          -8.493310,
+		"J.":                                           -8.493310,
+		"John":                                         -8.493310,
+		"Joy":                                          -9.186457,
+		"June":                                         -9.186457,
+		"K.":                                           -9.186457,
+		"Karels":                                       -9.186457,
+		"Kirk":                                         -9.186457,
+		"Klingon":                                      -8.493310,
+		"Klingons":                                     -9.186457,
+		"L.R.":                                         -9.186457,
+		"LIABILITY":                                    -9.186457,
+		"LINE":                                         -9.186457,
+		"LISP":                                         -9.186457,
+		"LOSS":                                         -9.186457,
+		"LRU":                                          -9.186457,
+		"L_INCR":                                       -9.186457,
+		"L_SET":                                        -9.186457,
+		"L_XTND.":                                      -9.186457,
+		"Language":                                     -8.493310,
+		"Lecture":                                      -9.186457,
+		"Leffler":                                      -9.186457,
+		"Lemberg":                                      -9.186457,
+		"Liberated":                                    -9.186457,
+		"License":                                      -9.186457,
+		"Line":                                         -8.087845,
+		"Links":                                        -9.186457,
+		"Literal":                                      -9.186457,
+		"Long":                                         -9.186457,
+		"Los":                                          -8.493310,
+		"Lrscan":                                       -9.186457,
+		"M":                                            -9.186457,
+		"M.S":                                          -9.186457,
+		"MAN":                                          -9.186457,
+		"ME":                                           -9.186457,
+		"MERCHANTABILITY":                              -9.186457,
+		"Machine":                                      -9.186457,
+		"Man":                                          -9.186457,
+		"Manual":                                       -7.800163,
+		"Marshall":                                     -9.186457,
+		"Mathias":                                      -9.186457,
+		"McCarthy":                                     -9.186457,
+		"McKusick":                                     -8.493310,
+		"Mckusick":                                     -9.186457,
+		"Meaning":                                      -9.186457,
+		"Mellon":                                       -9.186457,
+		"Michael":                                      -9.186457,
+		"Microsystems":                                 -8.493310,
+		"Mnemonic":                                     -9.186457,
+		"Modified":                                     -9.186457,
+		"Most":                                         -9.186457,
+		"Move":                                         -9.186457,
+		"Multiple":                                     -9.186457,
+		"N":                                            -8.493310,
+		"NAME":                                         -7.240547,
+		"NEGLIGENCE":                                   -9.186457,
+		"NFS":                                          -8.087845,
+		"NIX":                                          -8.087845,
+		"NOTES":                                        -9.186457,
+		"NS":                                           -7.800163,
+		"Name":                                         -9.186457,
+		"Neither":                                      -9.186457,
+		"Network":                                      -9.186457,
+		"Neumann":                                      -9.186457,
+		"Newcastle":                                    -9.186457,
+		"Newline":                                      -9.186457,
+		"No":                                           -9.186457,
+		"November":                                     -9.186457,
+		"Numerous":                                     -9.186457,
+		"O.":                                           -9.186457,
+		"OF":                                           -8.087845,
+		"OP":                                           -9.186457,
+		"OR":                                           -7.394698,
+		"OTHERWISE":                                    -9.186457,
+		"O_APPEND":                                     -9.186457,
+		"O_CREAT":                                      -9.186457,
+		"O_EXCL.":                                      -9.186457,
+		"O_TRUNC":                                      -9.186457,
+		"Odd":                                          -8.493310,
+		"On":                                           -9.186457,
+		"One":                                          -8.493310,
+		"Openvt":                                       -9.186457,
+		"Organization":                                 -9.186457,
+		"Other":                                        -8.493310,
+		"Output":                                       -8.493310,
+		"PAGE":                                         -9.186457,
+		"PARAMETERS":                                   -9.186457,
+		"PARTICULAR":                                   -9.186457,
+		"PRINTF":                                       -9.186457,
+		"PROFITS":                                      -9.186457,
+		"PROVIDED":                                     -9.186457,
+		"PURPOSE":                                      -9.186457,
+		"Page":                                         -9.186457,
+		"Parse":                                        -9.186457,
+		"Part":                                         -9.186457,
+		"Patel":                                        -8.493310,
+		"Per":                                          -9.186457,
+		"Perhaps":                                      -9.186457,
+		"Phasers":                                      -8.493310,
+		"Print":                                        -8.087845,
+		"Printf":                                       -9.186457,
+		"Programmer":                                   -9.186457,
+		"Programming":                                  -8.493310,
+		"Programs":                                     -9.186457,
+		"Properties":                                   -9.186457,
+		"Proposal":                                     -9.186457,
+		"Pseudotab":                                    -9.186457,
+		"QSORT":                                        -9.186457,
+		"Qsort":                                        -9.186457,
+		"R":                                            -7.800163,
+		"RAM":                                          -9.186457,
+		"RANZ":                                         -9.186457,
+		"RB":                                           -9.186457,
+		"REFERENCE":                                    -9.186457,
+		"REGENTS":                                      -9.186457,
+		"REQUEST":                                      -9.186457,
+		"RFS":                                          -8.493310,
+		"RI":                                           -9.186457,
+		"ROFF":                                         -9.186457,
+		"Range":                                        -8.493310,
+		"Ratan":                                        -9.186457,
+		"Redistribution":                               -9.186457,
+		"Register":                                     -9.186457,
+		"Registers":                                    -8.493310,
+		"Report":                                       -9.186457,
+		"Request":                                      -8.493310,
+		"Research":                                     -9.186457,
+		"Rest":                                         -9.186457,
+		"Ritchie":                                      -9.186457,
+		"Rodriguez":                                    -9.186457,
+		"Roman":                                        -8.493310,
+		"S.R.":                                         -9.186457,
+		"SCORING":                                      -9.186457,
+		"SEE":                                          -7.800163,
+		"SERVICES":                                     -9.186457,
+		"SHELL":                                        -9.186457,
+		"SHields":                                      -9.186457,
+		"SINS":                                         -8.087845,
+		"SOFTWARE":                                     -9.186457,
+		"SPECIAL":                                      -9.186457,
+		"SQL":                                          -8.493310,
+		"STatus":                                       -9.186457,
+		"SUCH":                                         -9.186457,
+		"SUMMARY":                                      -9.186457,
+		"SYNOPSIS":                                     -7.240547,
+		"Same":                                         -8.493310,
+		"Sandberg":                                     -9.186457,
+		"Satyanarayanan":                               -8.493310,
+		"Scan":                                         -8.493310,
+		"Science":                                      -8.087845,
+		"Section":                                      -9.186457,
+		"Separate":                                     -9.186457,
+		"September":                                    -9.186457,
+		"Several":                                      -7.800163,
+		"Short":                                        -9.186457,
+		"Shortest":                                     -9.186457,
+		"Single":                                       -9.186457,
+		"Srscan":                                       -9.186457,
+		"Statements":                                   -9.186457,
+		"Status":                                       -9.186457,
+		"Stop":                                         -8.493310,
+		"Style":                                        -8.493310,
+		"Substitutions":                                -8.493310,
+		"Such":                                         -9.186457,
+		"Summary":                                      -9.186457,
+		"Sun":                                          -6.242018,
+		"Support":                                      -9.186457,
+		"Switch":                                       -8.493310,
+		"Sy":                                           -6.989233,
+		"Symbolic":                                     -9.186457,
+		"System":                                       -7.240547,
+		"Systems":                                      -9.186457,
+		"T":                                            -7.107016,
+		"T.":                                           -9.186457,
+		"TERMINATE":                                    -9.186457,
+		"THE":                                          -9.186457,
+		"THIS":                                         -8.493310,
+		"TORT":                                         -9.186457,
+		"TTY":                                          -9.186457,
+		"Tab":                                          -8.493310,
+		"Take":                                         -9.186457,
+		"Takes":                                        -9.186457,
+		"Tcl":                                          -8.493310,
+		"Temporarily":                                  -8.493310,
+		"The":                                          -4.767617,
+		"There":                                        -8.493310,
+		"These":                                        -7.800163,
+		"Thesis":                                       -9.186457,
+		"This":                                         -6.478407,
+		"Throw":                                        -9.186457,
+		"Thus":                                         -9.186457,
+		"Title":                                        -8.493310,
+		"Torpedo":                                      -9.186457,
+		"Total":                                        -9.186457,
+		"Toward":                                       -9.186457,
+		"Translate":                                    -9.186457,
+		"Turing":                                       -9.186457,
+		"U":                                            -6.701551,
+		"UE":                                           -9.186457,
+		"UIO_USERISPACE":                               -9.186457,
+		"ULTRIX":                                       -7.394698,
+		"UNDER":                                        -9.186457,
+		"UNIX":                                         -9.186457,
+		"URL":                                          -7.800163,
+		"URL.":                                         -9.186457,
+		"USE":                                          -9.186457,
+		"UX":                                           -8.087845,
+		"Underline":                                    -9.186457,
+		"Undock":                                       -9.186457,
+		"Unicode":                                      -6.478407,
+		"University":                                   -7.240547,
+		"Up/Down":                                      -8.493310,
+		"Use":                                          -8.493310,
+		"User":                                         -9.186457,
+		"Users":                                        -9.186457,
+		"Uses":                                         -9.186457,
+		"V":                                            -8.493310,
+		"VBLK":                                         -9.186457,
+		"VCHR":                                         -9.186457,
+		"VDIR":                                         -9.186457,
+		"VEXLOCK":                                      -9.186457,
+		"VFS":                                          -6.989233,
+		"VFS_EXPORTED":                                 -9.186457,
+		"VFS_MLOCK":                                    -9.186457,
+		"VFS_MWAIT":                                    -9.186457,
+		"VFS_NOSUID":                                   -9.186457,
+		"VIEW":                                         -9.186457,
+		"VLNK":                                         -9.186457,
+		"VLWAIT":                                       -9.186457,
+		"VNON":                                         -9.186457,
+		"VREG":                                         -9.186457,
+		"VROOT":                                        -9.186457,
+		"VSHLOCK":                                      -9.186457,
+		"VSOCK":                                        -9.186457,
+		"VT":                                           -9.186457,
+		"VTEXT":                                        -9.186457,
+		"Vertical":                                     -9.186457,
+		"Very":                                         -9.186457,
+		"Virtual":                                      -9.186457,
+		"Visual":                                       -9.186457,
+		"W.N.":                                         -9.186457,
+		"WARRANTIES":                                   -9.186457,
+		"WARRANTIES.":                                  -9.186457,
+		"WAY":                                          -9.186457,
+		"WWW":                                          -9.186457,
+		"Warp":                                         -9.186457,
+		"Weinberger":                                   -9.186457,
+		"Werner":                                       -9.186457,
+		"When":                                         -8.493310,
+		"XXX":                                          -8.493310,
+		"Yes":                                          -8.087845,
+		"Yes/No":                                       -8.493310,
+		"You":                                          -7.800163,
+		"[":                                            -5.928361,
+		"\\":                                           -2.957946,
+		"]":                                            -5.928361,
+		"^":                                            -8.493310,
+		"__":                                           -8.493310,
+		"___":                                          -7.800163,
+		"____":                                         -8.493310,
+		"______":                                       -8.493310,
+		"_number":                                      -9.186457,
+		"`":                                            -5.548871,
+		"a":                                            -4.196025,
+		"abandon":                                      -9.186457,
+		"abcd..":                                       -9.186457,
+		"able":                                         -9.186457,
+		"about":                                        -8.493310,
+		"above":                                        -8.087845,
+		"above.":                                       -8.493310,
+		"acceptance":                                   -9.186457,
+		"access":                                       -8.493310,
+		"accommodate":                                  -9.186457,
+		"accommodated.":                                -9.186457,
+		"accommodates":                                 -9.186457,
+		"accomodate":                                   -9.186457,
+		"according":                                    -8.493310,
+		"accounting":                                   -9.186457,
+		"across":                                       -9.186457,
+		"active":                                       -9.186457,
+		"actual":                                       -7.577020,
+		"actually":                                     -9.186457,
+		"ad":                                           -9.186457,
+		"addition":                                     -9.186457,
+		"additional":                                   -8.493310,
+		"address":                                      -7.800163,
+		"address.":                                     -9.186457,
+		"addresses":                                    -9.186457,
+		"adjusting":                                    -8.493310,
+		"adopts":                                       -9.186457,
+		"advance":                                      -9.186457,
+		"advanced":                                     -9.186457,
+		"advantages":                                   -9.186457,
+		"advised.":                                     -9.186457,
+		"affect":                                       -9.186457,
+		"after":                                        -6.989233,
+		"against":                                      -8.493310,
+		"alert":                                        -8.493310,
+		"algorithm":                                    -8.493310,
+		"algorithm.":                                   -9.186457,
+		"aligned":                                      -9.186457,
+		"all":                                          -6.883872,
+		"allocate":                                     -9.186457,
+		"allocates":                                    -9.186457,
+		"allocation":                                   -8.493310,
+		"allow":                                        -8.087845,
+		"allowing":                                     -9.186457,
+		"allows":                                       -7.577020,
+		"along":                                        -8.493310,
+		"already":                                      -9.186457,
+		"also":                                         -7.107016,
+		"always":                                       -8.087845,
+		"among":                                        -8.087845,
+		"amount":                                       -9.186457,
+		"amt":                                          -9.186457,
+		"amusing":                                      -9.186457,
+		"an":                                           -6.190725,
+		"analogous":                                    -9.186457,
+		"ancient":                                      -9.186457,
+		"and":                                          -4.476927,
+		"and/or":                                       -8.493310,
+		"angle/No":                                     -9.186457,
+		"another":                                      -8.493310,
+		"another.":                                     -9.186457,
+		"any":                                          -7.107016,
+		"anything":                                     -9.186457,
+		"appears":                                      -8.087845,
+		"ar":                                           -9.186457,
+		"arabic":                                       -8.493310,
+		"arbitrary":                                    -9.186457,
+		"arc":                                          -9.186457,
+		"architecture":                                 -9.186457,
+		"are":                                          -5.059323,
+		"areas":                                        -8.493310,
+		"aren":                                         -9.186457,
+		"arg":                                          -9.186457,
+		"argument":                                     -6.296086,
+		"argument.":                                    -8.493310,
+		"arguments":                                    -8.087845,
+		"arm":                                          -9.186457,
+		"around":                                       -8.493310,
+		"arranged":                                     -9.186457,
+		"array":                                        -8.493310,
+		"array.":                                       -9.186457,
+		"as":                                           -5.720722,
+		"assembly":                                     -9.186457,
+		"assigned":                                     -9.186457,
+		"associated":                                   -9.186457,
+		"assumed.":                                     -9.186457,
+		"at":                                           -6.413869,
+		"attached.":                                    -9.186457,
+		"attempt":                                      -8.493310,
+		"attempting":                                   -9.186457,
+		"attempts":                                     -8.087845,
+		"attribute":                                    -9.186457,
+		"attributes":                                   -9.186457,
+		"automatically":                                -9.186457,
+		"avoid":                                        -7.800163,
+		"avoided":                                      -9.186457,
+		"avoiding":                                     -9.186457,
+		"b":                                            -9.186457,
+		"back":                                         -8.493310,
+		"backslash":                                    -7.394698,
+		"base":                                         -8.493310,
+		"based":                                        -9.186457,
+		"basic":                                        -9.186457,
+		"be":                                           -4.732110,
+		"bear":                                         -9.186457,
+		"becomes":                                      -7.577020,
+		"been":                                         -6.701551,
+		"before":                                       -6.883872,
+		"begin":                                        -9.186457,
+		"beginning":                                    -7.394698,
+		"behave":                                       -9.186457,
+		"being":                                        -9.186457,
+		"bell":                                         -9.186457,
+		"below":                                        -7.800163,
+		"best":                                         -8.087845,
+		"beta":                                         -9.186457,
+		"better":                                       -9.186457,
+		"between":                                      -6.883872,
+		"binary":                                       -8.493310,
+		"bit":                                          -7.800163,
+		"bits":                                         -7.800163,
+		"bl":                                           -9.186457,
+		"blank":                                        -7.394698,
+		"blanks":                                       -9.186457,
+		"block":                                        -6.788562,
+		"block.":                                       -9.186457,
+		"blocks":                                       -7.240547,
+		"blocks.":                                      -8.087845,
+		"bonus":                                        -9.186457,
+		"bottom":                                       -8.493310,
+		"boundaries":                                   -9.186457,
+		"bp":                                           -9.186457,
+		"br":                                           -8.087845,
+		"braces":                                       -8.087845,
+		"brackets":                                     -9.186457,
+		"break":                                        -9.186457,
+		"break.":                                       -9.186457,
+		"broken":                                       -9.186457,
+		"browsers":                                     -9.186457,
+		"buffer":                                       -6.242018,
+		"buffer.":                                      -8.493310,
+		"buffers":                                      -8.087845,
+		"bug":                                          -9.186457,
+		"but":                                          -6.989233,
+		"by":                                           -5.336310,
+		"bypasses":                                     -9.186457,
+		"byte":                                         -9.186457,
+		"bytes":                                        -9.186457,
+		"c":                                            -6.883872,
+		"cache":                                        -5.752470,
+		"cache.":                                       -8.087845,
+		"cached":                                       -9.186457,
+		"caching":                                      -8.493310,
+		"caddr_t":                                      -7.394698,
+		"call":                                         -6.788562,
+		"call.":                                        -9.186457,
+		"called":                                       -8.087845,
+		"caller":                                       -9.186457,
+		"callers":                                      -9.186457,
+		"calling":                                      -7.577020,
+		"calls":                                        -6.989233,
+		"cannot":                                       -8.493310,
+		"captions":                                     -9.186457,
+		"carefully":                                    -9.186457,
+		"carryover":                                    -9.186457,
+		"case":                                         -8.493310,
+		"cases":                                        -9.186457,
+		"casualty":                                     -9.186457,
+		"cause":                                        -9.186457,
+		"causes":                                       -7.800163,
+		"cc":                                           -9.186457,
+		"centered":                                     -9.186457,
+		"central":                                      -9.186457,
+		"change":                                       -8.493310,
+		"changed":                                      -9.186457,
+		"changes":                                      -7.577020,
+		"char":                                         -7.240547,
+		"char_":                                        -9.186457,
+		"character":                                    -5.660097,
+		"character.":                                   -9.186457,
+		"characteristics":                              -9.186457,
+		"characterized":                                -9.186457,
+		"characters":                                   -7.240547,
+		"characters.":                                  -9.186457,
+		"check":                                        -9.186457,
+		"checked":                                      -9.186457,
+		"checking":                                     -9.186457,
+		"chop":                                         -8.493310,
+		"chosen":                                       -9.186457,
+		"circle":                                       -9.186457,
+		"circumstances":                                -9.186457,
+		"clean":                                        -8.493310,
+		"client":                                       -8.493310,
+		"cloaking":                                     -9.186457,
+		"close":                                        -9.186457,
+		"closely":                                      -9.186457,
+		"code":                                         -8.493310,
+		"collected":                                    -9.186457,
+		"columns":                                      -9.186457,
+		"com":                                          -9.186457,
+		"command":                                      -7.240547,
+		"command.":                                     -8.493310,
+		"comment":                                      -8.493310,
+		"comments":                                     -9.186457,
+		"commodore":                                    -9.186457,
+		"common":                                       -9.186457,
+		"communicate":                                  -9.186457,
+		"compar":                                       -8.087845,
+		"compare":                                      -9.186457,
+		"compared.":                                    -9.186457,
+		"compares":                                     -8.493310,
+		"comparison":                                   -7.800163,
+		"compatibility":                                -7.800163,
+		"complete":                                     -8.087845,
+		"completely":                                   -8.493310,
+		"completeness":                                 -9.186457,
+		"completion":                                   -8.493310,
+		"completion.":                                  -9.186457,
+		"complicated.":                                 -9.186457,
+		"complications.":                               -9.186457,
+		"component":                                    -7.394698,
+		"components":                                   -9.186457,
+		"computer":                                     -7.394698,
+		"concern":                                      -9.186457,
+		"conditions":                                   -8.087845,
+		"conference":                                   -9.186457,
+		"conflict":                                     -9.186457,
+		"considerably":                                 -8.493310,
+		"considered":                                   -7.577020,
+		"consistency":                                  -8.087845,
+		"consistency.":                                 -9.186457,
+		"consistent":                                   -8.493310,
+		"contain":                                      -9.186457,
+		"containing":                                   -8.087845,
+		"contains":                                     -7.577020,
+		"content.":                                     -9.186457,
+		"contents":                                     -9.186457,
+		"context":                                      -8.493310,
+		"contiguous":                                   -9.186457,
+		"contrast":                                     -9.186457,
+		"contributors":                                 -9.186457,
+		"control":                                      -8.087845,
+		"conveniently":                                 -9.186457,
+		"convention":                                   -8.493310,
+		"conventions":                                  -9.186457,
+		"conversion":                                   -8.087845,
+		"converted":                                    -8.493310,
+		"converts":                                     -9.186457,
+		"copied":                                       -7.800163,
+		"copies":                                       -9.186457,
+		"copy":                                         -7.800163,
+		"copying":                                      -7.800163,
+		"copying.":                                     -8.493310,
+		"core":                                         -8.493310,
+		"corollary":                                    -9.186457,
+		"corrected":                                    -8.493310,
+		"corresponding":                                -9.186457,
+		"costly.":                                      -9.186457,
+		"could":                                        -9.186457,
+		"count":                                        -8.493310,
+		"course":                                       -7.394698,
+		"create":                                       -8.493310,
+		"created":                                      -8.493310,
+		"creates":                                      -9.186457,
+		"creating":                                     -9.186457,
+		"creation":                                     -6.701551,
+		"cred":                                         -9.186457,
+		"credentials":                                  -8.493310,
+		"current":                                      -6.788562,
+		"currently":                                    -9.186457,
+		"cylinder":                                     -9.186457,
+		"d":                                            -7.800163,
+		"damaged":                                      -9.186457,
+		"data":                                         -6.190725,
+		"data.":                                        -8.493310,
+		"date":                                         -9.186457,
+		"dd":                                           -8.087845,
+		"de_":                                          -7.577020,
+		"decimal":                                      -7.577020,
+		"declared":                                     -9.186457,
+		"decode":                                       -9.186457,
+		"decoded":                                      -8.493310,
+		"define":                                       -9.186457,
+		"defined":                                      -8.087845,
+		"degrees":                                      -9.186457,
+		"delete":                                       -9.186457,
+		"deleted":                                      -9.186457,
+		"deletion":                                     -7.800163,
+		"deletion.":                                    -8.493310,
+		"delimit":                                      -9.186457,
+		"demand":                                       -8.493310,
+		"dependent":                                    -7.800163,
+		"derives":                                      -8.493310,
+		"describe":                                     -8.493310,
+		"described":                                    -7.107016,
+		"describes":                                    -9.186457,
+		"describing":                                   -8.493310,
+		"description":                                  -9.186457,
+		"design":                                       -7.240547,
+		"designed":                                     -9.186457,
+		"designs.":                                     -8.087845,
+		"desirable":                                    -8.493310,
+		"destination":                                  -9.186457,
+		"destroy":                                      -8.087845,
+		"destroyed.":                                   -9.186457,
+		"detail.":                                      -9.186457,
+		"details":                                      -8.493310,
+		"determine":                                    -9.186457,
+		"determining":                                  -9.186457,
+		"developed.":                                   -9.186457,
+		"device":                                       -6.788562,
+		"device.":                                      -8.493310,
+		"devised":                                      -8.493310,
+		"devising":                                     -9.186457,
+		"dg":                                           -8.493310,
+		"di":                                           -7.577020,
+		"difference":                                   -9.186457,
+		"differences":                                  -8.087845,
+		"different":                                    -6.547400,
+		"difficult":                                    -9.186457,
+		"digit":                                        -9.186457,
+		"digit.":                                       -9.186457,
+		"digits":                                       -6.788562,
+		"dillon":                                       -9.186457,
+		"directly":                                     -8.087845,
+		"directories":                                  -9.186457,
+		"directory":                                    -6.701551,
+		"directory.":                                   -8.493310,
+		"diroffcache":                                  -9.186457,
+		"disadvantages":                                -9.186457,
+		"disclaimer":                                   -9.186457,
+		"disclaimer.":                                  -9.186457,
+		"disk":                                         -7.800163,
+		"disk.":                                        -9.186457,
+		"display":                                      -9.186457,
+		"distance":                                     -8.087845,
+		"distorts":                                     -9.186457,
+		"distressed":                                   -9.186457,
+		"distribute":                                   -9.186457,
+		"disturbed.":                                   -9.186457,
+		"div":                                          -7.800163,
+		"diversion":                                    -8.493310,
+		"diversity":                                    -9.186457,
+		"divide":                                       -9.186457,
+		"division":                                     -9.186457,
+		"do":                                           -6.547400,
+		"document":                                     -9.186457,
+		"does":                                         -7.800163,
+		"doing":                                        -9.186457,
+		"dollar":                                       -9.186457,
+		"don":                                          -9.186457,
+		"done":                                         -7.394698,
+		"done.":                                        -9.186457,
+		"dot":                                          -9.186457,
+		"double":                                       -8.493310,
+		"double.":                                      -9.186457,
+		"doublequotes":                                 -9.186457,
+		"dramatically":                                 -9.186457,
+		"driven":                                       -9.186457,
+		"driver":                                       -9.186457,
+		"drivers":                                      -8.087845,
+		"drivers.":                                     -9.186457,
+		"dropped":                                      -9.186457,
+		"ds":                                           -8.493310,
+		"dsw":                                          -7.800163,
+		"duplication":                                  -9.186457,
+		"during":                                       -7.394698,
+		"dx":                                           -9.186457,
+		"dynamically":                                  -9.186457,
+		"e":                                            -6.353244,
+		"e.g.":                                         -8.493310,
+		"each":                                         -6.413869,
+		"easily":                                       -9.186457,
+		"effects":                                      -9.186457,
+		"efficiency":                                   -8.087845,
+		"effort":                                       -9.186457,
+		"eight":                                        -7.800163,
+		"either":                                       -7.800163,
+		"el":                                           -8.087845,
+		"element":                                      -7.800163,
+		"elements":                                     -7.800163,
+		"elements.":                                    -9.186457,
+		"else":                                         -9.186457,
+		"email":                                        -9.186457,
+		"embedded":                                     -9.186457,
+		"emit":                                         -8.493310,
+		"emphasized":                                   -9.186457,
+		"en":                                           -9.186457,
+		"enU":                                          -7.577020,
+		"enclosed":                                     -9.186457,
+		"encode":                                       -9.186457,
+		"encoded":                                      -9.186457,
+		"encountered.":                                 -9.186457,
+		"end":                                          -7.800163,
+		"energy":                                       -7.240547,
+		"engines":                                      -8.087845,
+		"enhanced":                                     -9.186457,
+		"entire":                                       -8.087845,
+		"entirely":                                     -9.186457,
+		"entries":                                      -9.186457,
+		"entry":                                        -6.883872,
+		"enum":                                         -8.087845,
+		"equal":                                        -8.087845,
+		"equal.":                                       -9.186457,
+		"equivalent":                                   -8.493310,
+		"erase":                                        -9.186457,
+		"error":                                        -8.493310,
+		"escape":                                       -9.186457,
+		"escapes":                                      -9.186457,
+		"esthetics.":                                   -9.186457,
+		"etc.":                                         -9.186457,
+		"etymology":                                    -9.186457,
+		"ev":                                           -8.493310,
+		"evaluate":                                     -9.186457,
+		"evaluated":                                    -9.186457,
+		"even":                                         -7.800163,
+		"exactly":                                      -9.186457,
+		"examined":                                     -8.493310,
+		"example":                                      -7.577020,
+		"example.":                                     -9.186457,
+		"exceeds":                                      -9.186457,
+		"except":                                       -7.577020,
+		"exceptional":                                  -9.186457,
+		"exec":                                         -9.186457,
+		"exist":                                        -9.186457,
+		"existence":                                    -9.186457,
+		"existent":                                     -9.186457,
+		"existing":                                     -8.087845,
+		"exists":                                       -9.186457,
+		"exits":                                        -9.186457,
+		"expansion":                                    -9.186457,
+		"expected":                                     -9.186457,
+		"expecting":                                    -9.186457,
+		"expense":                                      -8.493310,
+		"expensive":                                    -9.186457,
+		"expert":                                       -9.186457,
+		"explicitly":                                   -8.493310,
+		"expressions":                                  -9.186457,
+		"extended":                                     -9.186457,
+		"extension":                                    -9.186457,
+		"extent":                                       -9.186457,
+		"external":                                     -9.186457,
+		"fB":                                           -5.819162,
+		"fB.":                                          -9.186457,
+		"fBU":                                          -9.186457,
+		"fBa":                                          -9.186457,
+		"fBb":                                          -9.186457,
+		"fBe":                                          -9.186457,
+		"fBf":                                          -9.186457,
+		"fBn":                                          -9.186457,
+		"fBr":                                          -9.186457,
+		"fBt":                                          -9.186457,
+		"fBu":                                          -9.186457,
+		"fBv":                                          -9.186457,
+		"fBx":                                          -9.186457,
+		"fI":                                           -8.087845,
+		"fICACM":                                       -8.493310,
+		"fILookuppn":                                   -9.186457,
+		"fINamei":                                      -9.186457,
+		"fIUsenix":                                     -9.186457,
+		"fIbackslash":                                  -9.186457,
+		"fIbmap":                                       -8.493310,
+		"fIbuf":                                        -9.186457,
+		"fIhh":                                         -8.493310,
+		"fIhhhh":                                       -8.493310,
+		"fIhhhhhhhh":                                   -8.493310,
+		"fIiget":                                       -7.800163,
+		"fIioctl":                                      -8.493310,
+		"fIiput":                                       -9.186457,
+		"fIlookup":                                     -8.493310,
+		"fIlookupname":                                 -9.186457,
+		"fIlookuppn":                                   -9.186457,
+		"fInamei":                                      -6.478407,
+		"fInameidata":                                  -7.577020,
+		"fIoff_t":                                      -8.493310,
+		"fIooo":                                        -8.493310,
+		"fIstrategy":                                   -9.186457,
+		"fIucred":                                      -9.186457,
+		"fIuio":                                        -7.800163,
+		"fIunsigned":                                   -9.186457,
+		"fIuser":                                       -9.186457,
+		"fIvattr":                                      -9.186457,
+		"fIvn_lookup":                                  -9.186457,
+		"fIvnode":                                      -8.493310,
+		"fIwhiteSpace":                                 -9.186457,
+		"fIy":                                          -9.186457,
+		"fP":                                           -4.981765,
+		"fP.":                                          -7.107016,
+		"fR":                                           -5.967582,
+		"fR.":                                          -8.087845,
+		"fRddd":                                        -9.186457,
+		"facilities":                                   -8.087845,
+		"facility":                                     -9.186457,
+		"fail":                                         -9.186457,
+		"fail.":                                        -9.186457,
+		"fails":                                        -9.186457,
+		"fair":                                         -9.186457,
+		"fam":                                          -9.186457,
+		"familiar":                                     -9.186457,
+		"far":                                          -9.186457,
+		"faster":                                       -9.186457,
+		"faults.":                                      -9.186457,
+		"features":                                     -8.493310,
+		"feed":                                         -9.186457,
+		"few":                                          -8.087845,
+		"fewer":                                        -9.186457,
+		"fflags":                                       -9.186457,
+		"fhp":                                          -9.186457,
+		"fi":                                           -9.186457,
+		"field":                                        -8.087845,
+		"fields":                                       -8.087845,
+		"file":                                         -5.720722,
+		"file.":                                        -8.493310,
+		"filename":                                     -9.186457,
+		"files":                                        -7.394698,
+		"filesystem":                                   -4.937962,
+		"filesystem.":                                  -7.240547,
+		"filesystems":                                  -6.242018,
+		"filesystems.":                                 -9.186457,
+		"fill":                                         -8.493310,
+		"filling":                                      -8.493310,
+		"final":                                        -7.107016,
+		"find":                                         -8.087845,
+		"first":                                        -6.621508,
+		"fit":                                          -9.186457,
+		"flags":                                        -8.493310,
+		"float":                                        -8.493310,
+		"flushed":                                      -9.186457,
+		"flushing":                                     -9.186457,
+		"follow":                                       -9.186457,
+		"following":                                    -7.240547,
+		"foot":                                         -7.577020,
+		"for":                                          -4.966950,
+		"forbids":                                      -9.186457,
+		"forces":                                       -8.493310,
+		"form":                                         -8.087845,
+		"format":                                       -8.493310,
+		"formats":                                      -8.493310,
+		"formatted":                                    -9.186457,
+		"forms":                                        -9.186457,
+		"found":                                        -7.577020,
+		"four":                                         -9.186457,
+		"framework":                                    -9.186457,
+		"framework.":                                   -8.493310,
+		"free":                                         -8.493310,
+		"freely":                                       -9.186457,
+		"frees":                                        -9.186457,
+		"frequently":                                   -9.186457,
+		"from":                                         -5.819162,
+		"fsinterface.ms":                               -9.186457,
+		"ft":                                           -9.186457,
+		"ftr":                                          -8.087845,
+		"full.":                                        -9.186457,
+		"function":                                     -7.800163,
+		"function.":                                    -9.186457,
+		"functions":                                    -9.186457,
+		"further":                                      -9.186457,
+		"future.":                                      -9.186457,
+		"galaxy":                                       -9.186457,
+		"game":                                         -9.186457,
+		"game.":                                        -8.493310,
+		"general":                                      -8.493310,
+		"generality":                                   -9.186457,
+		"generalization":                               -8.087845,
+		"generalized":                                  -9.186457,
+		"generally":                                    -8.493310,
+		"generated":                                    -9.186457,
+		"generic":                                      -7.394698,
+		"get":                                          -8.087845,
+		"gets":                                         -9.186457,
+		"getting":                                      -9.186457,
+		"give":                                         -7.800163,
+		"given":                                        -7.240547,
+		"global":                                       -9.186457,
+		"goal":                                         -8.493310,
+		"goals":                                        -7.577020,
+		"goals.":                                       -9.186457,
+		"good":                                         -9.186457,
+		"groff":                                        -9.186457,
+		"grohtml.":                                     -9.186457,
+		"group":                                        -7.800163,
+		"groups":                                       -7.800163,
+		"groups.":                                      -9.186457,
+		"guarantee":                                    -9.186457,
+		"h":                                            -9.186457,
+		"handle":                                       -8.493310,
+		"handle.":                                      -9.186457,
+		"handled":                                      -9.186457,
+		"handling":                                     -8.493310,
+		"hard":                                         -8.493310,
+		"has":                                          -6.353244,
+		"hash":                                         -8.493310,
+		"have":                                         -6.788562,
+		"he":                                           -8.087845,
+		"head":                                         -7.577020,
+		"header":                                       -9.186457,
+		"held":                                         -8.493310,
+		"help":                                         -8.493310,
+		"here.":                                        -9.186457,
+		"hexadecimal":                                  -7.107016,
+		"highly":                                       -9.186457,
+		"hold":                                         -8.493310,
+		"holding":                                      -9.186457,
+		"holds":                                        -9.186457,
+		"horizontal":                                   -9.186457,
+		"however":                                      -8.493310,
+		"href=":                                        -7.800163,
+		"html":                                         -9.186457,
+		"hx":                                           -9.186457,
+		"hy":                                           -7.800163,
+		"hyphenation":                                  -9.186457,
+		"i":                                            -8.087845,
+		"i.e.":                                         -8.087845,
+		"identification":                               -9.186457,
+		"identified":                                   -9.186457,
+		"ie":                                           -8.087845,
+		"if":                                           -5.928361,
+		"ig":                                           -9.186457,
+		"ignored.":                                     -9.186457,
+		"ill":                                          -9.186457,
+		"image":                                        -9.186457,
+		"implementation":                               -7.800163,
+		"implementations":                              -7.800163,
+		"implementations.":                             -8.493310,
+		"implemented":                                  -7.800163,
+		"implementing":                                 -9.186457,
+		"implementors":                                 -9.186457,
+		"implicit":                                     -9.186457,
+		"importance":                                   -9.186457,
+		"important":                                    -8.493310,
+		"impossible":                                   -9.186457,
+		"improvements":                                 -8.087845,
+		"impulse":                                      -9.186457,
+		"in":                                           -4.513629,
+		"in.":                                          -9.186457,
+		"include":                                      -7.800163,
+		"included":                                     -8.493310,
+		"includes":                                     -8.493310,
+		"including":                                    -7.800163,
+		"incompatible":                                 -9.186457,
+		"incorporated.":                                -9.186457,
+		"incr":                                         -8.493310,
+		"increments":                                   -9.186457,
+		"incur.":                                       -9.186457,
+		"indent":                                       -8.493310,
+		"indented":                                     -9.186457,
+		"independent":                                  -7.800163,
+		"index":                                        -8.087845,
+		"indicated":                                    -9.186457,
+		"indirect":                                     -8.493310,
+		"indirecting":                                  -9.186457,
+		"individual":                                   -7.800163,
+		"inefficient":                                  -9.186457,
+		"information":                                  -7.394698,
+		"inhabited":                                    -8.493310,
+		"inode":                                        -6.413869,
+		"inode.":                                       -8.493310,
+		"inodes":                                       -8.493310,
+		"input":                                        -7.800163,
+		"inserted":                                     -8.087845,
+		"inserted.":                                    -8.493310,
+		"installs":                                     -9.186457,
+		"instead":                                      -8.493310,
+		"int":                                          -5.785260,
+		"intact.":                                      -9.186457,
+		"integer":                                      -8.087845,
+		"integrating":                                  -9.186457,
+		"interaction":                                  -9.186457,
+		"interactions":                                 -9.186457,
+		"interconsole":                                 -9.186457,
+		"interface":                                    -5.752470,
+		"interface.":                                   -7.394698,
+		"interfaces":                                   -6.883872,
+		"interfaces.":                                  -9.186457,
+		"internal":                                     -7.577020,
+		"internally":                                   -8.493310,
+		"internally.":                                  -9.186457,
+		"interpolating":                                -9.186457,
+		"interpreter":                                  -8.493310,
+		"interrupt":                                    -8.493310,
+		"interrupted":                                  -9.186457,
+		"into":                                         -6.296086,
+		"introduced":                                   -7.800163,
+		"inumber":                                      -9.186457,
+		"invalid":                                      -9.186457,
+		"involved":                                     -9.186457,
+		"ioflag":                                       -9.186457,
+		"is":                                           -4.281183,
+		"isolate":                                      -9.186457,
+		"issues":                                       -8.087845,
+		"it":                                           -5.819162,
+		"it.":                                          -8.493310,
+		"iteration":                                    -9.186457,
+		"iterative":                                    -9.186457,
+		"its":                                          -7.107016,
+		"itself.":                                      -8.493310,
+		"ix":                                           -9.186457,
+		"jsr":                                          -8.493310,
+		"jump":                                         -9.186457,
+		"just":                                         -8.493310,
+		"kept":                                         -8.493310,
+		"kernel":                                       -8.087845,
+		"kernel.":                                      -9.186457,
+		"kill":                                         -8.493310,
+		"killed":                                       -8.493310,
+		"known":                                        -8.493310,
+		"l":                                            -9.186457,
+		"lab":                                          -8.087845,
+		"label":                                        -9.186457,
+		"labn":                                         -9.186457,
+		"language":                                     -9.186457,
+		"large":                                        -9.186457,
+		"larger":                                       -9.186457,
+		"last":                                         -7.577020,
+		"later":                                        -8.493310,
+		"layer":                                        -7.800163,
+		"layer.":                                       -8.087845,
+		"layering.":                                    -9.186457,
+		"layers":                                       -8.493310,
+		"leading":                                      -9.186457,
+		"least":                                        -8.493310,
+		"left":                                         -8.087845,
+		"length":                                       -7.394698,
+		"length_":                                      -9.186457,
+		"less":                                         -8.493310,
+		"lesser":                                       -9.186457,
+		"letter":                                       -9.186457,
+		"letters":                                      -9.186457,
+		"level":                                        -8.087845,
+		"lf":                                           -9.186457,
+		"li":                                           -9.186457,
+		"library":                                      -9.186457,
+		"license.terms":                                -9.186457,
+		"like":                                         -7.577020,
+		"limitations":                                  -9.186457,
+		"line":                                         -7.577020,
+		"line.":                                        -8.493310,
+		"linear":                                       -9.186457,
+		"lines":                                        -6.413869,
+		"lines.":                                       -7.577020,
+		"link.":                                        -9.186457,
+		"links":                                        -9.186457,
+		"list":                                         -8.087845,
+		"listed":                                       -9.186457,
+		"lists":                                        -9.186457,
+		"little":                                       -9.186457,
+		"ll":                                           -9.186457,
+		"loading":                                      -9.186457,
+		"local":                                        -6.989233,
+		"locate":                                       -8.493310,
+		"located":                                      -8.087845,
+		"locates":                                      -9.186457,
+		"location":                                     -8.493310,
+		"location.":                                    -9.186457,
+		"lock":                                         -9.186457,
+		"locking":                                      -7.800163,
+		"log":                                          -9.186457,
+		"logical":                                      -8.493310,
+		"long":                                         -8.087845,
+		"looked":                                       -9.186457,
+		"lookup":                                       -6.547400,
+		"lookup.":                                      -9.186457,
+		"lookups":                                      -9.186457,
+		"lose":                                         -9.186457,
+		"lost.":                                        -9.186457,
+		"low":                                          -9.186457,
+		"lower":                                        -9.186457,
+		"lqA":                                          -9.186457,
+		"lqCan":                                        -9.186457,
+		"lqFunctional":                                 -9.186457,
+		"lqRecursive":                                  -9.186457,
+		"lqThe":                                        -9.186457,
+		"lqUNIX":                                       -9.186457,
+		"ls":                                           -9.186457,
+		"m":                                            -7.577020,
+		"mE":                                           -9.186457,
+		"mF":                                           -9.186457,
+		"mH":                                           -7.577020,
+		"mTu":                                          -9.186457,
+		"macro":                                        -9.186457,
+		"macros":                                       -8.493310,
+		"made":                                         -8.087845,
+		"made.":                                        -9.186457,
+		"maintained":                                   -8.493310,
+		"maintained.":                                  -9.186457,
+		"maintains":                                    -9.186457,
+		"major":                                        -8.087845,
+		"make":                                         -8.087845,
+		"makes":                                        -8.493310,
+		"making":                                       -9.186457,
+		"man":                                          -7.800163,
+		"management":                                   -9.186457,
+		"manipulation":                                 -9.186457,
+		"manner":                                       -9.186457,
+		"manual":                                       -8.087845,
+		"manual.":                                      -8.493310,
+		"many":                                         -8.493310,
+		"mapped":                                       -9.186457,
+		"mapping":                                      -9.186457,
+		"margin.":                                      -8.493310,
+		"margins.":                                     -9.186457,
+		"match":                                        -8.493310,
+		"maximum":                                      -8.493310,
+		"may":                                          -5.660097,
+		"means":                                        -9.186457,
+		"meantime":                                     -9.186457,
+		"mechanism":                                    -9.186457,
+		"mechanisms":                                   -9.186457,
+		"medium":                                       -9.186457,
+		"memory":                                       -7.107016,
+		"merged":                                       -9.186457,
+		"message":                                      -9.186457,
+		"messages":                                     -9.186457,
+		"met":                                          -9.186457,
+		"methods":                                      -9.186457,
+		"might":                                        -8.087845,
+		"minor":                                        -9.186457,
+		"minus":                                        -9.186457,
+		"missing":                                      -7.800163,
+		"mo":                                           -9.186457,
+		"mode":                                         -9.186457,
+		"modification":                                 -8.493310,
+		"modification.":                                -9.186457,
+		"modifications":                                -9.186457,
+		"modified":                                     -7.394698,
+		"modified.":                                    -9.186457,
+		"modify":                                       -8.493310,
+		"modularity":                                   -8.493310,
+		"modularity.":                                  -9.186457,
+		"module":                                       -9.186457,
+		"modules":                                      -8.493310,
+		"more":                                         -6.989233,
+		"most":                                         -7.394698,
+		"mount":                                        -8.493310,
+		"mounting":                                     -8.493310,
+		"mov":                                          -9.186457,
+		"move":                                         -8.493310,
+		"moved":                                        -9.186457,
+		"mso":                                          -9.186457,
+		"mso_":                                         -9.186457,
+		"much":                                         -9.186457,
+		"multi":                                        -9.186457,
+		"multiple":                                     -7.800163,
+		"must":                                         -6.788562,
+		"n":                                            -4.882392,
+		"n.":                                           -8.493310,
+		"na":                                           -9.186457,
+		"name":                                         -5.967582,
+		"name.":                                        -8.493310,
+		"named":                                        -7.577020,
+		"nameidata":                                    -9.186457,
+		"names":                                        -7.577020,
+		"nc_id":                                        -9.186457,
+		"ndp":                                          -9.186457,
+		"ne":                                           -9.186457,
+		"necessarily":                                  -9.186457,
+		"necessary":                                    -9.186457,
+		"needed.":                                      -9.186457,
+		"negative":                                     -9.186457,
+		"nel":                                          -9.186457,
+		"nested":                                       -8.493310,
+		"network":                                      -7.394698,
+		"never":                                        -9.186457,
+		"new":                                          -6.621508,
+		"newline":                                      -7.577020,
+		"newline.":                                     -9.186457,
+		"next":                                         -6.883872,
+		"next.":                                        -9.186457,
+		"nf":                                           -9.186457,
+		"nh":                                           -8.493310,
+		"ni":                                           -9.186457,
+		"ni_dirp":                                      -9.186457,
+		"ni_loopcnt":                                   -9.186457,
+		"ni_more":                                      -9.186457,
+		"ni_nameiop":                                   -9.186457,
+		"ni_pathlen":                                   -9.186457,
+		"ni_pnbuf":                                     -9.186457,
+		"ni_seg":                                       -9.186457,
+		"nn":                                           -9.186457,
+		"no":                                           -5.379795,
+		"node.":                                        -9.186457,
+		"non":                                          -8.087845,
+		"none":                                         -9.186457,
+		"nonetheless":                                  -9.186457,
+		"nonexistent":                                  -9.186457,
+		"nor":                                          -9.186457,
+		"normal":                                       -8.087845,
+		"normally":                                     -9.186457,
+		"not":                                          -6.141935,
+		"notably":                                      -9.186457,
+		"note":                                         -9.186457,
+		"notice":                                       -8.493310,
+		"novice":                                       -9.186457,
+		"now":                                          -9.186457,
+		"nr":                                           -9.186457,
+		"nr_":                                          -9.186457,
+		"nroff":                                        -9.186457,
+		"null":                                         -8.087845,
+		"null.":                                        -9.186457,
+		"number":                                       -6.621508,
+		"number.":                                      -8.493310,
+		"numbered":                                     -8.493310,
+		"numbered.":                                    -8.493310,
+		"numbers":                                      -7.800163,
+		"numbers.":                                     -8.493310,
+		"numeral":                                      -9.186457,
+		"numerical":                                    -9.186457,
+		"numerous":                                     -9.186457,
+		"nx":                                           -9.186457,
+		"object":                                       -8.087845,
+		"objects":                                      -8.087845,
+		"objects.":                                     -8.493310,
+		"observation":                                  -9.186457,
+		"obtain":                                       -8.493310,
+		"obtained":                                     -9.186457,
+		"obtaining":                                    -9.186457,
+		"obvious":                                      -9.186457,
+		"occur":                                        -9.186457,
+		"occurs":                                       -7.577020,
+		"occurs.":                                      -8.493310,
+		"octal":                                        -9.186457,
+		"of":                                           -3.971522,
+		"off":                                          -7.394698,
+		"offp":                                         -9.186457,
+		"offset":                                       -7.800163,
+		"offset.":                                      -9.186457,
+		"oh":                                           -9.186457,
+		"old":                                          -9.186457,
+		"older":                                        -9.186457,
+		"on":                                           -6.095415,
+		"on.":                                          -9.186457,
+		"once":                                         -8.087845,
+		"one":                                          -6.547400,
+		"only":                                         -7.394698,
+		"open":                                         -8.087845,
+		"openvt":                                       -9.186457,
+		"operating":                                    -8.087845,
+		"operation":                                    -6.883872,
+		"operation.":                                   -8.493310,
+		"operations":                                   -6.701551,
+		"operations.":                                  -9.186457,
+		"optimization":                                 -9.186457,
+		"optimizations.":                               -9.186457,
+		"option.":                                      -9.186457,
+		"optional":                                     -7.577020,
+		"optional.":                                    -9.186457,
+		"or":                                           -5.402268,
+		"ordinary":                                     -9.186457,
+		"organization.":                                -9.186457,
+		"oriented":                                     -9.186457,
+		"original":                                     -8.493310,
+		"originally":                                   -8.493310,
+		"other":                                        -6.883872,
+		"others":                                       -9.186457,
+		"others.":                                      -9.186457,
+		"otherwise":                                    -7.577020,
+		"out":                                          -9.186457,
+		"output":                                       -6.788562,
+		"output.":                                      -9.186457,
+		"outside":                                      -9.186457,
+		"over":                                         -8.087845,
+		"overflow":                                     -9.186457,
+		"overflows":                                    -8.493310,
+		"overhead.":                                    -9.186457,
+		"own":                                          -9.186457,
+		"ownership":                                    -8.493310,
+		"p":                                            -7.577020,
+		"p/bar.":                                       -9.186457,
+		"pa":                                           -9.186457,
+		"package":                                      -9.186457,
+		"padding":                                      -9.186457,
+		"page":                                         -6.547400,
+		"page.":                                        -7.394698,
+		"pages":                                        -8.087845,
+		"pages.":                                       -9.186457,
+		"pair":                                         -9.186457,
+		"paper":                                        -8.087845,
+		"parameters":                                   -7.800163,
+		"parsed.":                                      -9.186457,
+		"parser":                                       -8.493310,
+		"part":                                         -8.087845,
+		"particular":                                   -8.493310,
+		"parts":                                        -7.800163,
+		"pass":                                         -7.800163,
+		"passed":                                       -8.493310,
+		"past.":                                        -9.186457,
+		"pathname":                                     -6.989233,
+		"pattern":                                      -8.087845,
+		"patterns":                                     -9.186457,
+		"pc":                                           -9.186457,
+		"per":                                          -7.107016,
+		"perform":                                      -9.186457,
+		"performance":                                  -7.800163,
+		"performance.":                                 -8.087845,
+		"performed":                                    -7.240547,
+		"performing":                                   -7.800163,
+		"performs":                                     -8.493310,
+		"permeates":                                    -9.186457,
+		"permission.":                                  -9.186457,
+		"permissions":                                  -8.493310,
+		"phasers":                                      -8.493310,
+		"philosophical":                                -9.186457,
+		"philosophies":                                 -9.186457,
+		"phototypesetter":                              -9.186457,
+		"physical":                                     -7.577020,
+		"piped":                                        -9.186457,
+		"pl":                                           -9.186457,
+		"place":                                        -8.087845,
+		"places":                                       -9.186457,
+		"plain":                                        -9.186457,
+		"play":                                         -9.186457,
+		"pm":                                           -9.186457,
+		"pnr":                                          -9.186457,
+		"po":                                           -9.186457,
+		"point":                                        -7.800163,
+		"pointer":                                      -6.883872,
+		"pointers":                                     -9.186457,
+		"points":                                       -6.989233,
+		"points.":                                      -9.186457,
+		"pool":                                         -9.186457,
+		"popping":                                      -9.186457,
+		"portability":                                  -9.186457,
+		"portable":                                     -8.493310,
+		"positive":                                     -9.186457,
+		"possible":                                     -8.087845,
+		"possibly":                                     -9.186457,
+		"pre":                                          -9.186457,
+		"preceded":                                     -9.186457,
+		"precision":                                    -7.240547,
+		"preference.":                                  -9.186457,
+		"present":                                      -7.800163,
+		"presentation":                                 -8.493310,
+		"presented":                                    -8.087845,
+		"preserve":                                     -9.186457,
+		"previous":                                     -9.186457,
+		"previously":                                   -9.186457,
+		"primary":                                      -9.186457,
+		"primitive":                                    -9.186457,
+		"primitives":                                   -8.493310,
+		"print":                                        -9.186457,
+		"printed":                                      -7.394698,
+		"printed.":                                     -8.493310,
+		"printf":                                       -8.087845,
+		"printf.":                                      -9.186457,
+		"printing":                                     -7.394698,
+		"printing.":                                    -8.493310,
+		"prints":                                       -9.186457,
+		"prior":                                        -9.186457,
+		"private":                                      -8.493310,
+		"probably":                                     -9.186457,
+		"problem":                                      -8.087845,
+		"problem.":                                     -9.186457,
+		"problems":                                     -7.577020,
+		"problems.":                                    -9.186457,
+		"procedures":                                   -9.186457,
+		"process":                                      -6.788562,
+		"process.":                                     -9.186457,
+		"processed":                                    -8.493310,
+		"processes":                                    -8.493310,
+		"processing.":                                  -9.186457,
+		"produced.":                                    -9.186457,
+		"programs.":                                    -9.186457,
+		"prologue":                                     -9.186457,
+		"prominent":                                    -9.186457,
+		"promoted":                                     -9.186457,
+		"promotion":                                    -9.186457,
+		"properties":                                   -8.493310,
+		"proposal":                                     -8.493310,
+		"proposed":                                     -9.186457,
+		"provide":                                      -8.493310,
+		"provided":                                     -8.087845,
+		"provides":                                     -7.800163,
+		"public":                                       -9.186457,
+		"published":                                    -9.186457,
+		"purged":                                       -9.186457,
+		"put":                                          -7.577020,
+		"putchar":                                      -9.186457,
+		"putchar.":                                     -9.186457,
+		"q.v.":                                         -9.186457,
+		"qsort":                                        -8.087845,
+		"quantity.":                                    -9.186457,
+		"quicker":                                      -8.493310,
+		"quite":                                        -9.186457,
+		"quotes":                                       -9.186457,
+		"quotes.":                                      -9.186457,
+		"r":                                            -6.296086,
+		"ra":                                           -8.087845,
+		"radio":                                        -8.493310,
+		"range":                                        -6.989233,
+		"rate":                                         -9.186457,
+		"rather":                                       -6.883872,
+		"raw":                                          -8.087845,
+		"rchar":                                        -9.186457,
+		"rchar_":                                       -9.186457,
+		"rd":                                           -9.186457,
+		"reached":                                      -8.087845,
+		"reached.":                                     -8.493310,
+		"read":                                         -6.989233,
+		"read.":                                        -9.186457,
+		"reading":                                      -9.186457,
+		"reads":                                        -9.186457,
+		"reasons":                                      -9.186457,
+		"receipt":                                      -9.186457,
+		"received":                                     -8.493310,
+		"recent":                                       -9.186457,
+		"recognizable":                                 -9.186457,
+		"recompilation":                                -9.186457,
+		"recursive":                                    -8.493310,
+		"redesigned":                                   -9.186457,
+		"redundant":                                    -9.186457,
+		"reentrancy":                                   -9.186457,
+		"reference":                                    -6.701551,
+		"reference.":                                   -9.186457,
+		"references":                                   -7.577020,
+		"reflecting":                                   -9.186457,
+		"region":                                       -9.186457,
+		"registered":                                   -9.186457,
+		"related":                                      -8.087845,
+		"relation":                                     -9.186457,
+		"released":                                     -9.186457,
+		"remain":                                       -9.186457,
+		"remaining":                                    -8.493310,
+		"remains":                                      -9.186457,
+		"remote":                                       -7.107016,
+		"remove":                                       -9.186457,
+		"removed.":                                     -9.186457,
+		"repeat":                                       -9.186457,
+		"replaced":                                     -7.800163,
+		"replacement":                                  -9.186457,
+		"replaces":                                     -7.800163,
+		"representation":                               -9.186457,
+		"reproduce":                                    -9.186457,
+		"reproducible":                                 -9.186457,
+		"request":                                      -7.800163,
+		"requested.":                                   -9.186457,
+		"requests":                                     -9.186457,
+		"require":                                      -8.493310,
+		"requires":                                     -9.186457,
+		"research":                                     -8.493310,
+		"reserved":                                     -8.493310,
+		"reserved.":                                    -8.493310,
+		"resolved":                                     -9.186457,
+		"responsible":                                  -9.186457,
+		"rest":                                         -8.493310,
+		"restart":                                      -8.493310,
+		"restored":                                     -9.186457,
+		"restriction":                                  -9.186457,
+		"result":                                       -7.800163,
+		"resulting":                                    -9.186457,
+		"resumed":                                      -9.186457,
+		"retain":                                       -7.800163,
+		"retrieved":                                    -9.186457,
+		"return":                                       -7.107016,
+		"returned":                                     -8.493310,
+		"returns":                                      -8.087845,
+		"returns.":                                     -9.186457,
+		"reusable":                                     -9.186457,
+		"right":                                        -8.087845,
+		"rights":                                       -8.493310,
+		"rm":                                           -7.240547,
+		"rn":                                           -8.087845,
+		"ro":                                           -9.186457,
+		"robustness":                                   -9.186457,
+		"roff":                                         -8.087845,
+		"room":                                         -9.186457,
+		"root":                                         -9.186457,
+		"routine":                                      -7.240547,
+		"routine.":                                     -8.087845,
+		"routines":                                     -7.394698,
+		"routines.":                                    -9.186457,
+		"rq":                                           -7.394698,
+		"rr":                                           -9.186457,
+		"rr_":                                          -9.186457,
+		"rule":                                         -9.186457,
+		"run":                                          -8.493310,
+		"s":                                            -5.785260,
+		"same":                                         -7.394698,
+		"satisfy":                                      -9.186457,
+		"scan":                                         -9.186457,
+		"scan.":                                        -9.186457,
+		"scans":                                        -9.186457,
+		"scheme":                                       -8.087845,
+		"scheme.":                                      -9.186457,
+		"scope":                                        -9.186457,
+		"scoring":                                      -9.186457,
+		"script.":                                      -9.186457,
+		"searched.":                                    -9.186457,
+		"searching":                                    -9.186457,
+		"second":                                       -8.087845,
+		"section":                                      -7.577020,
+		"sections":                                     -8.493310,
+		"see":                                          -9.186457,
+		"seems":                                        -9.186457,
+		"seen":                                         -8.493310,
+		"select":                                       -9.186457,
+		"semantic":                                     -9.186457,
+		"semaphores":                                   -9.186457,
+		"sensors":                                      -8.493310,
+		"separate":                                     -7.577020,
+		"separated":                                    -9.186457,
+		"separating":                                   -9.186457,
+		"separation":                                   -9.186457,
+		"separator":                                    -9.186457,
+		"sequence":                                     -8.087845,
+		"sequence.":                                    -9.186457,
+		"sequences":                                    -9.186457,
+		"sequencing":                                   -9.186457,
+		"sequential":                                   -9.186457,
+		"sequentially":                                 -8.493310,
+		"server":                                       -9.186457,
+		"serves":                                       -9.186457,
+		"set":                                          -7.107016,
+		"setting":                                      -9.186457,
+		"settings":                                     -9.186457,
+		"settings.":                                    -9.186457,
+		"several":                                      -7.577020,
+		"severely":                                     -9.186457,
+		"share":                                        -9.186457,
+		"shell":                                        -7.800163,
+		"shell.":                                       -9.186457,
+		"shields":                                      -9.186457,
+		"short":                                        -7.800163,
+		"should":                                       -7.107016,
+		"shuttlecraft":                                 -9.186457,
+		"side":                                         -9.186457,
+		"sign":                                         -9.186457,
+		"signal":                                       -9.186457,
+		"signal.":                                      -9.186457,
+		"significance":                                 -9.186457,
+		"signs":                                        -9.186457,
+		"similar":                                      -7.800163,
+		"similarly":                                    -9.186457,
+		"simple":                                       -9.186457,
+		"simply":                                       -8.493310,
+		"since":                                        -9.186457,
+		"single":                                       -6.788562,
+		"sixteen":                                      -9.186457,
+		"size":                                         -9.186457,
+		"sk":                                           -9.186457,
+		"sleep/wakeup":                                 -9.186457,
+		"slightly":                                     -9.186457,
+		"small":                                        -9.186457,
+		"smaller":                                      -9.186457,
+		"so":                                           -7.394698,
+		"so.":                                          -9.186457,
+		"so_":                                          -9.186457,
+		"soft":                                         -8.493310,
+		"software":                                     -9.186457,
+		"some":                                         -8.087845,
+		"somewhat":                                     -7.800163,
+		"sort":                                         -8.087845,
+		"sorted":                                       -9.186457,
+		"source":                                       -8.493310,
+		"sp":                                           -9.186457,
+		"space":                                        -7.577020,
+		"space.":                                       -8.493310,
+		"spaces":                                       -8.087845,
+		"spaces.":                                      -8.493310,
+		"spacing":                                      -9.186457,
+		"spec.":                                        -9.186457,
+		"special":                                      -9.186457,
+		"specially":                                    -9.186457,
+		"specific":                                     -7.107016,
+		"specification":                                -7.800163,
+		"specifications":                               -9.186457,
+		"specifications.":                              -9.186457,
+		"specified":                                    -8.087845,
+		"spread":                                       -9.186457,
+		"src/games/trek/DOC/trekmanual.nr":             -9.186457,
+		"ss":                                           -9.186457,
+		"stack":                                        -9.186457,
+		"standard":                                     -8.087845,
+		"star":                                         -9.186457,
+		"starbase":                                     -8.493310,
+		"stardate":                                     -9.186457,
+		"stars.":                                       -9.186457,
+		"starsystem":                                   -8.493310,
+		"start":                                        -8.493310,
+		"started":                                      -9.186457,
+		"starting":                                     -9.186457,
+		"startup":                                      -9.186457,
+		"state":                                        -9.186457,
+		"stateless":                                    -9.186457,
+		"statelessness":                                -9.186457,
+		"status":                                       -8.493310,
+		"stop":                                         -8.087845,
+		"stop.":                                        -9.186457,
+		"stopped.":                                     -9.186457,
+		"storage":                                      -9.186457,
+		"stored":                                       -8.087845,
+		"strangely":                                    -9.186457,
+		"stream":                                       -9.186457,
+		"string":                                       -6.989233,
+		"string.":                                      -8.087845,
+		"strings":                                      -9.186457,
+		"strip":                                        -8.493310,
+		"struct":                                       -6.296086,
+		"structure":                                    -6.621508,
+		"structure.":                                   -7.240547,
+		"structures":                                   -8.493310,
+		"study":                                        -9.186457,
+		"style":                                        -7.800163,
+		"stylized":                                     -8.087845,
+		"subroutine":                                   -8.493310,
+		"subsequent":                                   -8.493310,
+		"subspace":                                     -8.493310,
+		"substitution":                                 -7.394698,
+		"substitutions":                                -8.087845,
+		"subsystem":                                    -9.186457,
+		"successful":                                   -9.186457,
+		"successive":                                   -9.186457,
+		"such":                                         -7.107016,
+		"suffers":                                      -8.493310,
+		"sufficiently":                                 -9.186457,
+		"suffix":                                       -9.186457,
+		"suitably":                                     -9.186457,
+		"summarized":                                   -9.186457,
+		"superblocks":                                  -9.186457,
+		"supply":                                       -9.186457,
+		"support":                                      -7.800163,
+		"supported":                                    -9.186457,
+		"supposed":                                     -9.186457,
+		"suppressed.":                                  -9.186457,
+		"swap":                                         -9.186457,
+		"switch":                                       -7.577020,
+		"swtab":                                        -8.493310,
+		"symbolic":                                     -8.493310,
+		"symbols":                                      -8.493310,
+		"synchronization":                              -8.493310,
+		"synchronize":                                  -9.186457,
+		"system":                                       -6.242018,
+		"system.":                                      -7.800163,
+		"systems":                                      -7.107016,
+		"systems.":                                     -7.800163,
+		"t":                                            -7.107016,
+		"t.":                                           -8.087845,
+		"ta":                                           -9.186457,
+		"tab":                                          -8.087845,
+		"table":                                        -8.493310,
+		"table.":                                       -8.493310,
+		"tables":                                       -9.186457,
+		"tabs":                                         -8.493310,
+		"take":                                         -9.186457,
+		"taken":                                        -8.087845,
+		"takes":                                        -9.186457,
+		"target":                                       -7.800163,
+		"targetted":                                    -8.493310,
+		"tc":                                           -9.186457,
+		"temporary":                                    -9.186457,
+		"tends":                                        -9.186457,
+		"terminates":                                   -8.493310,
+		"termination.":                                 -9.186457,
+		"terminator.":                                  -9.186457,
+		"test":                                         -9.186457,
+		"text":                                         -7.107016,
+		"text.":                                        -8.493310,
+		"th":                                           -9.186457,
+		"than":                                         -6.547400,
+		"that":                                         -5.379795,
+		"the":                                          -3.044420,
+		"their":                                        -9.186457,
+		"them":                                         -7.107016,
+		"them.":                                        -8.493310,
+		"then":                                         -7.107016,
+		"there":                                        -7.577020,
+		"therefore":                                    -9.186457,
+		"these":                                        -6.413869,
+		"they":                                         -8.087845,
+		"third":                                        -9.186457,
+		"this":                                         -6.141935,
+		"those":                                        -7.800163,
+		"three":                                        -7.394698,
+		"through":                                      -8.493310,
+		"throughout":                                   -9.186457,
+		"thus":                                         -8.087845,
+		"ti":                                           -9.186457,
+		"time":                                         -6.701551,
+		"time.":                                        -9.186457,
+		"timestamps":                                   -8.493310,
+		"title":                                        -7.577020,
+		"title.":                                       -8.493310,
+		"titles":                                       -8.087845,
+		"tm":                                           -9.186457,
+		"tm_":                                          -8.087845,
+		"tmc":                                          -9.186457,
+		"to":                                           -4.130212,
+		"to/from":                                      -9.186457,
+		"too":                                          -9.186457,
+		"top":                                          -9.186457,
+		"torpedo":                                      -9.186457,
+		"torpedoes":                                    -9.186457,
+		"tr":                                           -9.186457,
+		"tracker":                                      -9.186457,
+		"trademark":                                    -8.493310,
+		"transferred":                                  -9.186457,
+		"transient":                                    -9.186457,
+		"translate":                                    -9.186457,
+		"translated":                                   -9.186457,
+		"translates":                                   -9.186457,
+		"translation":                                  -6.788562,
+		"translation.":                                 -9.186457,
+		"translations":                                 -9.186457,
+		"transparent":                                  -9.186457,
+		"transport":                                    -9.186457,
+		"transporter":                                  -9.186457,
+		"treat":                                        -9.186457,
+		"treated":                                      -8.087845,
+		"triggering":                                   -9.186457,
+		"truncation":                                   -9.186457,
+		"tubes":                                        -9.186457,
+		"turn":                                         -9.186457,
+		"turned":                                       -9.186457,
+		"twenty":                                       -9.186457,
+		"two":                                          -6.883872,
+		"type":                                         -7.107016,
+		"typed":                                        -9.186457,
+		"typedefs":                                     -9.186457,
+		"types":                                        -7.394698,
+		"types.":                                       -8.493310,
+		"typewriter":                                   -9.186457,
+		"typewriter.":                                  -9.186457,
+		"u":                                            -6.413869,
+		"u_short":                                      -7.800163,
+		"ucred":                                        -9.186457,
+		"uio_seg":                                      -9.186457,
+		"uiop":                                         -9.186457,
+		"ul":                                           -9.186457,
+		"unacceptable.":                                -9.186457,
+		"under":                                        -8.493310,
+		"underlying":                                   -8.493310,
+		"understood":                                   -8.493310,
+		"unexpectedly":                                 -9.186457,
+		"uniform":                                      -9.186457,
+		"union.":                                       -9.186457,
+		"unique":                                       -9.186457,
+		"university":                                   -9.186457,
+		"unknown":                                      -9.186457,
+		"unlike":                                       -9.186457,
+		"unmodified":                                   -9.186457,
+		"unmodified.":                                  -9.186457,
+		"unsigned":                                     -9.186457,
+		"until":                                        -8.087845,
+		"up":                                           -7.394698,
+		"update":                                       -9.186457,
+		"upon":                                         -7.394698,
+		"upper":                                        -7.800163,
+		"uppercase":                                    -9.186457,
+		"us.":                                          -9.186457,
+		"use":                                          -6.190725,
+		"used":                                         -6.141935,
+		"user":                                         -7.394698,
+		"user.":                                        -9.186457,
+		"uses":                                         -7.800163,
+		"using":                                        -7.107016,
+		"v":                                            -9.186457,
+		"v_count":                                      -9.186457,
+		"v_data":                                       -9.186457,
+		"v_exlockc":                                    -9.186457,
+		"v_flag":                                       -9.186457,
+		"v_shlockc":                                    -9.186457,
+		"v_type":                                       -9.186457,
+		"val":                                          -8.493310,
+		"valid":                                        -9.186457,
+		"validating":                                   -9.186457,
+		"valn":                                         -9.186457,
+		"value":                                        -6.621508,
+		"vap":                                          -9.186457,
+		"variable":                                     -7.394698,
+		"varieties":                                    -9.186457,
+		"various":                                      -8.087845,
+		"varying":                                      -7.577020,
+		"verbatim.":                                    -9.186457,
+		"version":                                      -7.394698,
+		"versions":                                     -8.493310,
+		"very":                                         -8.493310,
+		"vfs":                                          -8.493310,
+		"vfsops":                                       -9.186457,
+		"views":                                        -9.186457,
+		"violation":                                    -9.186457,
+		"virtual":                                      -7.107016,
+		"vnode":                                        -5.890621,
+		"vnode.":                                       -9.186457,
+		"vnodeops":                                     -8.087845,
+		"vnodes":                                       -7.577020,
+		"von":                                          -9.186457,
+		"vp":                                           -9.186457,
+		"vt":                                           -7.800163,
+		"vtype":                                        -8.493310,
+		"w":                                            -6.788562,
+		"warp":                                         -8.493310,
+		"warp_factor":                                  -9.186457,
+		"was":                                          -8.087845,
+		"ways":                                         -9.186457,
+		"ways.":                                        -9.186457,
+		"we":                                           -9.186457,
+		"well":                                         -7.800163,
+		"well.":                                        -9.186457,
+		"were":                                         -7.577020,
+		"when":                                         -6.883872,
+		"whence":                                       -9.186457,
+		"where":                                        -9.186457,
+		"whether":                                      -8.493310,
+		"which":                                        -6.190725,
+		"while":                                        -9.186457,
+		"wide":                                         -8.493310,
+		"widely":                                       -9.186457,
+		"width":                                        -7.577020,
+		"width.":                                       -9.186457,
+		"will":                                         -5.854253,
+		"willing":                                      -9.186457,
+		"win":                                          -9.186457,
+		"with":                                         -5.660097,
+		"within":                                       -6.989233,
+		"without":                                      -7.240547,
+		"word":                                         -7.394698,
+		"word.":                                        -9.186457,
+		"words":                                        -8.087845,
+		"work":                                         -8.493310,
+		"works":                                        -9.186457,
+		"worth":                                        -9.186457,
+		"would":                                        -8.493310,
+		"write":                                        -9.186457,
+		"writing.":                                     -9.186457,
+		"written":                                      -7.577020,
+		"wrong":                                        -9.186457,
+		"x":                                            -6.883872,
+		"xx":                                           -9.186457,
+		"y":                                            -7.107016,
+		"yes":                                          -6.478407,
+		"yet":                                          -8.493310,
+		"you":                                          -6.989233,
+		"your":                                         -9.186457,
+		"zero":                                         -9.186457,
+		"{":                                            -6.788562,
+		"|":                                            -5.689950,
+		"||":                                           -9.186457,
+		"}":                                            -7.240547,
+		"\xad":                                         -9.186457,
+		"\xe5":                                         -9.186457,
 	},
 	"Roff Manpage": map[string]float64{
-		"\"":                                    -8.585879,
-		"#":                                     -9.279027,
-		"$":                                     -5.271693,
-		"%":                                     -9.279027,
-		"&":                                     -6.100973,
-		"'":                                     -8.585879,
-		"(":                                     -4.000912,
-		")":                                     -5.151892,
-		"*":                                     -5.044920,
-		"*archive":                              -8.585879,
-		"*buf":                                  -9.279027,
-		"*format":                               -9.279027,
-		"*name":                                 -9.279027,
-		"*set":                                  -9.279027,
-		"*sig":                                  -9.279027,
-		"*source":                               -8.585879,
-		"*timeptr":                              -9.279027,
-		"*vp":                                   -9.279027,
-		"+":                                     -6.714077,
-		",":                                     -3.426824,
-		"-":                                     -3.845305,
-		".":                                     -3.665898,
-		"..":                                    -8.180414,
-		"...":                                   -7.892732,
-		"./etc/npmrc":                           -9.279027,
-		"./node_modules":                        -9.279027,
-		"./node_modules/":                       -9.279027,
-		".An":                                   -6.976441,
-		".Ar":                                   -6.187984,
-		".B":                                    -7.333116,
-		".BI":                                   -9.279027,
-		".BR":                                   -9.279027,
-		".Bd":                                   -8.585879,
-		".Bl":                                   -7.333116,
-		".Bx":                                   -9.279027,
-		".Cd":                                   -9.279027,
-		".Cm":                                   -9.279027,
-		".DT":                                   -9.279027,
-		".Dd":                                   -7.199585,
-		".Dl":                                   -7.199585,
-		".Dt":                                   -6.976441,
-		".Dv":                                   -7.081802,
-		".Ed":                                   -8.180414,
-		".El":                                   -7.333116,
-		".Ex":                                   -9.279027,
-		".Fa":                                   -6.187984,
-		".Fl":                                   -8.585879,
-		".Fn":                                   -5.059519,
-		".Ft":                                   -6.570976,
-		".I":                                    -6.060151,
-		".IP":                                   -4.654054,
-		".IX":                                   -5.407826,
-		".In":                                   -7.669589,
-		".It":                                   -6.100973,
-		".Nd":                                   -6.976441,
-		".Nm":                                   -5.517826,
-		".Nx":                                   -8.585879,
-		".Op":                                   -7.333116,
-		".Os":                                   -6.976441,
-		".Ox":                                   -6.881131,
-		".P":                                    -6.388655,
-		".PD":                                   -6.187984,
-		".PP":                                   -6.187984,
-		".Pa":                                   -9.279027,
-		".Pp":                                   -5.541357,
-		".Pq":                                   -8.585879,
-		".Ql":                                   -8.180414,
-		".RE":                                   -7.669589,
-		".RS":                                   -7.669589,
-		".Rv":                                   -9.279027,
-		".SH":                                   -5.472364,
-		".Sh":                                   -5.002360,
-		".Sp":                                   -6.506438,
-		".Sq":                                   -7.487267,
-		".St":                                   -7.669589,
-		".Sx":                                   -9.279027,
-		".Sy":                                   -9.279027,
-		".TH":                                   -7.669589,
-		".TP":                                   -8.585879,
-		".UC":                                   -9.279027,
-		".Va":                                   -8.180414,
-		".Vb":                                   -8.585879,
-		".Ve":                                   -8.585879,
-		".Vt":                                   -8.585879,
-		".Xr":                                   -5.120143,
-		".bin":                                  -9.279027,
-		".br":                                   -8.585879,
-		".com/TooTallNate/node":                 -7.669589,
-		".com/isaacs/":                          -9.279027,
-		".com/izs":                              -9.279027,
-		".com/npm/cli/blob/latest/CONTRIBUTING": -9.279027,
-		".community/c/bugs":                     -8.585879,
-		".community/c/support":                  -8.585879,
-		".de":                                   -8.180414,
-		".ds":                                   -8.585879,
-		".editorconfig":                         -9.279027,
-		".el":                                   -5.911731,
-		".fi":                                   -9.279027,
-		".ft":                                   -9.279027,
-		".g":                                    -9.279027,
-		".gz":                                   -8.180414,
-		".ie":                                   -5.911731,
-		".izs":                                  -9.279027,
-		".json":                                 -9.279027,
-		".md":                                   -8.585879,
-		".me":                                   -9.279027,
-		".me/":                                  -9.279027,
-		".ne":                                   -9.279027,
-		".nf":                                   -8.585879,
-		".npmrc":                                -9.279027,
-		".sp":                                   -9.279027,
-		".swapctrlcaps":                         -9.279027,
-		".ta":                                   -9.279027,
-		".taz":                                  -9.279027,
-		".tgz":                                  -9.279027,
-		"/":                                     -7.333116,
-		"//blog":                                -9.279027,
-		"//en.wikipedia.org/wiki/Troff_macro":   -9.279027,
-		"//github":                              -7.333116,
-		"//npm":                                 -7.892732,
-		"//twitter":                             -9.279027,
-		"//www.djangoproject.com/wiki/ProfilingDjango": -9.279027,
-		"//www.w":                             -9.279027,
-		"/dev/ttyC":                           -9.279027,
-		"/dev/wskbd":                          -9.279027,
-		"/dev/wsmouse":                        -9.279027,
-		"/etc/kbdtype":                        -8.585879,
-		"/etc/wsconsctl.conf":                 -8.180414,
-		"/foo/bar":                            -9.279027,
-		"/usr/games/wump":                     -9.279027,
-		"/usr/include/dev/wscons/wsconsio.h":  -8.585879,
-		"/usr/include/dev/wscons/wsksymdef.h": -9.279027,
-		"/usr/local/etc/npmrc":                -9.279027,
-		"/usr/new/lib/pgrep":                  -9.279027,
-		":":                                   -4.578546,
-		";":                                   -6.639969,
-		"<":                                   -7.081802,
-		"<Todd.Miller@courtesan.com>":         -9.279027,
-		"<assirati@fma.if.usp.br>":            -9.279027,
-		"<authority>":                         -9.279027,
-		"<beck@openbsd.org>":                  -9.279027,
-		"<bk@rt.fm>":                          -9.279027,
-		"<cnst+openbsd@bugmail.mojo.ru>":      -9.279027,
-		"<command>":                           -9.279027,
-		"<d@openbsd.org>":                     -9.279027,
-		"<espie@openbsd.org>":                 -9.279027,
-		"<fgsch@openbsd.org>":                 -9.279027,
-		"<fragment>":                          -8.180414,
-		"<guenther@openbsd.org>":              -9.279027,
-		"<hash>":                              -9.279027,
-		"<http://rsync.samba.org/>":           -9.279027,
-		"<http://sdp.ppona.com/>":             -9.279027,
-		"<http://www.iana.org/assignments/uri\\-schemes>":    -9.279027,
-		"<http://www.iana.org/assignments/urn\\-namespaces>": -8.585879,
-		"<http://www.openssh.com/>":                          -9.279027,
-		"<libzip@nih.at>":                                    -9.279027,
-		"<lyx_pid>":                                          -9.279027,
-		"<mk@openbsd.org>":                                   -9.279027,
-		"<otto@drijf.net>":                                   -9.279027,
-		"<path>":                                             -8.180414,
-		"<query>":                                            -8.585879,
-		"<scheme>":                                           -8.585879,
-		"<scheme\\-specific\\-part>":                         -9.279027,
-		"<sf@sfritsch.de>":                                   -9.279027,
-		"=":                                                  -4.235601,
-		">":                                                  -6.020930,
-		"?":                                                  -8.585879,
-		"@":                                                  -9.279027,
-		"@LYX_DATE@":                                         -9.279027,
-		"@VERSION@":                                          -8.585879,
-		"@izs":                                               -9.279027,
-		"A":                                                  -6.714077,
-		"A.":                                                 -8.585879,
-		"ACTION":                                             -7.081802,
-		"ADVISED":                                            -7.892732,
-		"ALHADIS":                                            -9.279027,
-		"ALL":                                                -7.487267,
-		"ALSO":                                               -6.639969,
-		"AN":                                                 -9.279027,
-		"AND":                                                -6.570976,
-		"ANY":                                                -6.234504,
-		"API":                                                -9.279027,
-		"ARE":                                                -8.180414,
-		"ARISING":                                            -7.199585,
-		"AS":                                                 -7.199585,
-		"AUTHOR":                                             -7.487267,
-		"AUTHORS":                                            -7.487267,
-		"Aas.":                                               -8.585879,
-		"Access":                                             -9.279027,
-		"Add":                                                -9.279027,
-		"Additionally":                                       -9.279027,
-		"Alexander":                                          -9.279027,
-		"All":                                                -7.892732,
-		"Alt":                                                -9.279027,
-		"Alternative":                                        -9.279027,
-		"Alternatively":                                      -9.279027,
-		"An":                                                 -7.669589,
-		"Any":                                                -9.279027,
-		"Anything":                                           -9.279027,
-		"Aq":                                                 -7.081802,
-		"Ar":                                                 -7.199585,
-		"Arcadia":                                            -9.279027,
-		"Archive":                                            -9.279027,
-		"Assign":                                             -8.585879,
-		"Assirati":                                           -9.279027,
-		"Assuming":                                           -9.279027,
-		"Attempt":                                            -9.279027,
-		"August":                                             -9.279027,
-		"Avoid":                                              -9.279027,
-		"BE":                                                 -8.180414,
-		"BSD":                                                -9.279027,
-		"BUGS":                                               -8.180414,
-		"BUSINESS":                                           -8.180414,
-		"BUT":                                                -7.487267,
-		"BY":                                                 -8.585879,
-		"Baron":                                              -8.585879,
-		"Based":                                              -9.279027,
-		"Be":                                                 -9.279027,
-		"Beck":                                               -9.279027,
-		"Begin":                                              -9.279027,
-		"Behave":                                             -9.279027,
-		"Berkeley":                                           -9.279027,
-		"Berners":                                            -9.279027,
-		"Bob":                                                -9.279027,
-		"Book":                                               -9.279027,
-		"Bq":                                                 -7.487267,
-		"Brooks":                                             -9.279027,
-		"Bug":                                                -9.279027,
-		"C":                                                  -5.327783,
-		"CASING":                                             -9.279027,
-		"CAUSED":                                             -8.180414,
-		"CAVEATS":                                            -8.180414,
-		"CONNECTION":                                         -8.585879,
-		"CONSEQUENTIAL":                                      -7.199585,
-		"CONTRACT":                                           -7.333116,
-		"CONTRIBUTING":                                       -9.279027,
-		"CONTRIBUTORS":                                       -8.180414,
-		"CW":                                                 -5.235975,
-		"California":                                         -9.279027,
-		"California.":                                        -9.279027,
-		"Calling":                                            -9.279027,
-		"Caps":                                               -7.892732,
-		"Care":                                               -9.279027,
-		"Check":                                              -9.279027,
-		"Code":                                               -8.585879,
-		"Commit":                                             -8.585879,
-		"Common":                                             -9.279027,
-		"Commonwealth":                                       -9.279027,
-		"Company":                                            -9.279027,
-		"Computer":                                           -9.279027,
-		"Configs":                                            -8.585879,
-		"Constantine":                                        -8.585879,
-		"Constructs":                                         -9.279027,
-		"Contrast":                                           -9.279027,
-		"Control":                                            -8.180414,
-		"Copyright":                                          -7.333116,
-		"Cutter.":                                            -9.279027,
-		"DAMAGE.":                                            -8.180414,
-		"DAMAGES":                                            -6.714077,
-		"DAP":                                                -8.180414,
-		"DATA":                                               -7.669589,
-		"DEPENDENCIES":                                       -9.279027,
-		"DESCRIPTION":                                        -6.714077,
-		"DEVELOPER":                                          -9.279027,
-		"DIAGNOSTICS":                                        -9.279027,
-		"DIRECT":                                             -7.333116,
-		"DIRECTORIES":                                        -9.279027,
-		"DISCLAIMED.":                                        -8.180414,
-		"DISCLAIMS":                                          -8.585879,
-		"DON":                                                -8.585879,
-		"DOUBLE":                                             -9.279027,
-		"DP":                                                 -9.279027,
-		"David":                                              -9.279027,
-		"Default":                                            -9.279027,
-		"Defaults":                                           -9.279027,
-		"Dieter":                                             -8.585879,
-		"Directory":                                          -9.279027,
-		"Django":                                             -8.180414,
-		"Drivers":                                            -9.279027,
-		"Ds":                                                 -8.585879,
-		"EINVAL":                                             -8.585879,
-		"ENVIRONMENT":                                        -9.279027,
-		"ERRORS":                                             -8.180414,
-		"EVEN":                                               -8.180414,
-		"EVENT":                                              -7.669589,
-		"EXAMPLES":                                           -8.180414,
-		"EXEMPLARY":                                          -8.180414,
-		"EXIT":                                               -9.279027,
-		"EXPRESS":                                            -7.892732,
-		"Egrep":                                              -8.585879,
-		"Eh":                                                 -9.279027,
-		"Embedding":                                          -9.279027,
-		"Encouraged":                                         -9.279027,
-		"End":                                                -9.279027,
-		"English.":                                           -9.279027,
-		"Environment":                                        -9.279027,
-		"Er":                                                 -7.081802,
-		"Escape":                                             -9.279027,
-		"Espie":                                              -9.279027,
-		"Example":                                            -9.279027,
-		"Execute":                                            -9.279027,
-		"Exit":                                               -9.279027,
-		"Exp":                                                -9.279027,
-		"F":                                                  -8.585879,
-		"FILES":                                              -8.180414,
-		"FITNESS":                                            -8.180414,
-		"FITNESS.":                                           -8.585879,
-		"FOR":                                                -7.487267,
-		"FOUNDATION":                                         -9.279027,
-		"FROM":                                               -8.585879,
-		"Fielding":                                           -8.585879,
-		"Files":                                              -9.279027,
-		"Fix":                                                -9.279027,
-		"Fl":                                                 -6.445813,
-		"For":                                                -6.714077,
-		"Foundation":                                         -9.279027,
-		"Fritsch":                                            -9.279027,
-		"GCC":                                                -9.279027,
-		"GOODS":                                              -8.180414,
-		"Gallant":                                            -9.279027,
-		"Gisle":                                              -8.585879,
-		"Global":                                             -9.279027,
-		"Grep":                                               -8.180414,
-		"Gwynne":                                             -9.279027,
-		"HDRS":                                               -9.279027,
-		"HISTORY":                                            -7.199585,
-		"HOME/":                                              -9.279027,
-		"HOWEVER":                                            -8.180414,
-		"HTTP":                                               -9.279027,
-		"Half":                                               -9.279027,
-		"Hannken":                                            -9.279027,
-		"Header":                                             -7.333116,
-		"Heuristic":                                          -9.279027,
-		"Hunt":                                               -9.279027,
-		"I":                                                  -6.506438,
-		"I/O":                                                -8.180414,
-		"IDNA":                                               -9.279027,
-		"IF":                                                 -7.892732,
-		"IMPLIED":                                            -7.081802,
-		"IMPORTANT":                                          -9.279027,
-		"IN":                                                 -6.570976,
-		"INCIDENTAL":                                         -8.180414,
-		"INCLUDING":                                          -6.714077,
-		"INDIRECT":                                           -7.333116,
-		"INTERRUPTION":                                       -8.180414,
-		"INTRODUCTION":                                       -9.279027,
-		"IPv":                                                -8.180414,
-		"IS":                                                 -6.714077,
-		"ISBNs":                                              -9.279027,
-		"Identifier":                                         -8.585879,
-		"Identifiers":                                        -9.279027,
-		"If":                                                 -6.020930,
-		"Ignore":                                             -9.279027,
-		"Illjes.":                                            -9.279027,
-		"Imagine":                                            -9.279027,
-		"In":                                                 -7.199585,
-		"Inc":                                                -9.279027,
-		"Inc.":                                               -9.279027,
-		"Information":                                        -8.585879,
-		"International":                                      -9.279027,
-		"Internet":                                           -9.279027,
-		"Invalid":                                            -9.279027,
-		"Irvine":                                             -9.279027,
-		"Isaac":                                              -9.279027,
-		"It":                                                 -7.199585,
-		"Item":                                               -5.565454,
-		"Its":                                                -8.180414,
-		"J.":                                                 -9.279027,
-		"January":                                            -9.279027,
-		"Jared":                                              -9.279027,
-		"JavaScript":                                         -9.279027,
-		"Jo":                                                 -9.279027,
-		"Juergen":                                            -9.279027,
-		"June":                                               -8.585879,
-		"K":                                                  -9.279027,
-		"KVM":                                                -9.279027,
-		"Kansas":                                             -9.279027,
-		"Keeping":                                            -9.279027,
-		"Klausner":                                           -8.585879,
-		"Koster.":                                            -9.279027,
-		"L":                                                  -6.881131,
-		"LFUNs.lyx.":                                         -9.279027,
-		"LIABILITY":                                          -7.487267,
-		"LIABLE":                                             -8.180414,
-		"LICENSE":                                            -9.279027,
-		"LIMITED":                                            -7.487267,
-		"LOSS":                                               -7.669589,
-		"LYXSOCKET":                                          -9.279027,
-		"Lawrence":                                           -9.279027,
-		"Lee":                                                -9.279027,
-		"Left":                                               -8.585879,
-		"Let":                                                -8.585879,
-		"Lightweight":                                        -9.279027,
-		"List":                                               -9.279027,
-		"Local":                                              -9.279027,
-		"Locator":                                            -9.279027,
-		"Lock":                                               -7.892732,
-		"Luis":                                               -9.279027,
-		"LyX":                                                -9.279027,
-		"M.":                                                 -9.279027,
-		"MACROS":                                             -9.279027,
-		"MERCHANTABILITY":                                    -7.669589,
-		"Makefile":                                           -9.279027,
-		"Makoto":                                             -9.279027,
-		"Marc":                                               -9.279027,
-		"Martijn":                                            -9.279027,
-		"Masinter":                                           -9.279027,
-		"May":                                                -8.585879,
-		"Mdocdate":                                           -7.199585,
-		"Methods":                                            -9.279027,
-		"Microsoft":                                          -9.279027,
-		"Minoura":                                            -9.279027,
-		"Mode_Lock":                                          -9.279027,
-		"Mode_switch":                                        -9.279027,
-		"Modify":                                             -9.279027,
-		"More":                                               -9.279027,
-		"Most":                                               -9.279027,
-		"Mt":                                                 -7.669589,
-		"Multics":                                            -9.279027,
-		"Murenin":                                            -8.585879,
-		"NAME":                                               -6.794120,
-		"NEGLIGENCE":                                         -7.333116,
-		"NO":                                                 -7.669589,
-		"NOT":                                                -7.487267,
-		"NULL":                                               -7.892732,
-		"Name":                                               -9.279027,
-		"Names":                                              -9.279027,
-		"Neither":                                            -9.279027,
-		"NetBSD":                                             -8.585879,
-		"Netscape":                                           -9.279027,
-		"Never":                                              -9.279027,
-		"New":                                                -9.279027,
-		"Nicklin":                                            -9.279027,
-		"Node":                                               -9.279027,
-		"Normally":                                           -9.279027,
-		"Note":                                               -8.180414,
-		"November":                                           -8.585879,
-		"Ns":                                                 -7.487267,
-		"Numbers":                                            -9.279027,
-		"OCSP":                                               -8.585879,
-		"OF":                                                 -5.541357,
-		"OIDs":                                               -9.279027,
-		"ON":                                                 -8.180414,
-		"OPTIONS":                                            -9.279027,
-		"OR":                                                 -5.565454,
-		"OTHER":                                              -7.892732,
-		"OTHERWISE":                                          -8.585879,
-		"OUT":                                                -7.199585,
-		"Object":                                             -9.279027,
-		"Objects":                                            -9.279027,
-		"Obtain":                                             -9.279027,
-		"Of":                                                 -9.279027,
-		"On":                                                 -8.585879,
-		"Online":                                             -9.279027,
-		"Only":                                               -9.279027,
-		"OpenBSD":                                            -9.279027,
-		"Originally":                                         -9.279027,
-		"Otherwise":                                          -9.279027,
-		"P":                                                  -8.180414,
-		"PARTICULAR":                                         -8.180414,
-		"PERFORMANCE":                                        -9.279027,
-		"PGREP":                                              -9.279027,
-		"PHP":                                                -9.279027,
-		"PID":                                                -9.279027,
-		"POD.":                                               -9.279027,
-		"POSSIBILITY":                                        -8.180414,
-		"PPID":                                               -8.585879,
-		"PR":                                                 -8.585879,
-		"PREAMBLE":                                           -9.279027,
-		"PROCUREMENT":                                        -8.180414,
-		"PROFITS":                                            -7.892732,
-		"PROVIDED":                                           -7.669589,
-		"PURPOSE":                                            -7.892732,
-		"Pa":                                                 -7.333116,
-		"Packages":                                           -9.279027,
-		"Passing":                                            -8.585879,
-		"Path":                                               -9.279027,
-		"Performance":                                        -9.279027,
-		"Perl":                                               -9.279027,
-		"Permission":                                         -9.279027,
-		"Peter":                                              -9.279027,
-		"Pgrep":                                              -8.180414,
-		"Precede":                                            -9.279027,
-		"Prefix":                                             -9.279027,
-		"Print":                                              -7.333116,
-		"Prose":                                              -9.279027,
-		"Protocol.":                                          -9.279027,
-		"Python":                                             -7.892732,
-		"QEMU":                                               -8.585879,
-		"QUOTES":                                             -9.279027,
-		"QueryParam":                                         -8.585879,
-		"R":                                                  -8.585879,
-		"README":                                             -9.279027,
-		"README.md":                                          -9.279027,
-		"REGARD":                                             -7.892732,
-		"REGENTS":                                            -8.585879,
-		"REQUIRED":                                           -8.585879,
-		"RESULTING":                                          -8.585879,
-		"RETURN":                                             -7.892732,
-		"RFC":                                                -6.714077,
-		"RI":                                                 -5.877829,
-		"RI.":                                                -8.585879,
-		"RL":                                                 -8.585879,
-		"RN":                                                 -8.585879,
-		"RTSP":                                               -9.279027,
-		"Really":                                             -9.279027,
-		"Redistribution":                                     -8.180414,
-		"Redistributions":                                    -7.892732,
-		"Refer":                                              -9.279027,
-		"Regents":                                            -9.279027,
-		"Required":                                           -9.279027,
-		"Resource":                                           -7.669589,
-		"Returns":                                            -7.669589,
-		"Right":                                              -8.585879,
-		"RingEventIndex":                                     -9.279027,
-		"Roy":                                                -9.279027,
-		"Run":                                                -9.279027,
-		"Russian":                                            -9.279027,
-		"SCHED_FIFO":                                         -9.279027,
-		"SCHED_GET_PRIORITY_MIN":                             -9.279027,
-		"SCHED_OTHER":                                        -9.279027,
-		"SCHED_RR":                                           -9.279027,
-		"SCHED_YIELD":                                        -9.279027,
-		"SEE":                                                -6.639969,
-		"SEMICOLONS":                                         -9.279027,
-		"SENSOR_ATTACH":                                      -9.279027,
-		"SERVICES":                                           -8.180414,
-		"SHALL":                                              -7.669589,
-		"SOFTWARE":                                           -6.794120,
-		"SOFTWARE.":                                          -9.279027,
-		"SPECIAL":                                            -7.333116,
-		"SSL":                                                -8.585879,
-		"STANDARDS":                                          -7.669589,
-		"STATUS":                                             -9.279027,
-		"STRICT":                                             -8.180414,
-		"STYLE":                                              -9.279027,
-		"SUBSTITUTE":                                         -8.585879,
-		"SUCH":                                               -8.180414,
-		"SYNOPSIS":                                           -6.794120,
-		"Scheme":                                             -9.279027,
-		"Schlueter":                                          -9.279027,
-		"See":                                                -7.487267,
-		"September":                                          -8.585879,
-		"Set":                                                -7.487267,
-		"Sets":                                               -6.976441,
-		"Setting":                                            -8.585879,
-		"Shift":                                              -9.279027,
-		"Sp":                                                 -9.279027,
-		"Space":                                              -9.279027,
-		"Specify":                                            -8.585879,
-		"Split":                                              -9.279027,
-		"Sq":                                                 -9.279027,
-		"Standard":                                           -8.585879,
-		"Stefan":                                             -9.279027,
-		"Studio":                                             -9.279027,
-		"Submit":                                             -9.279027,
-		"Such":                                               -9.279027,
-		"Support":                                            -9.279027,
-		"Suppress":                                           -9.279027,
-		"TAN":                                                -9.279027,
-		"TCP.":                                               -9.279027,
-		"TF":                                                 -7.892732,
-		"THE":                                                -6.187984,
-		"THEORY":                                             -8.180414,
-		"THIS":                                               -6.881131,
-		"TLS":                                                -8.585879,
-		"TLS_CONFIG_OCSP_REQUIRE_STAPLING":                   -9.279027,
-		"TO":                                                 -7.081802,
-		"TORT":                                               -8.180414,
-		"TORTIOUS":                                           -7.669589,
-		"TRUE":                                               -9.279027,
-		"That":                                               -9.279027,
-		"The":                                                -4.884577,
-		"Then":                                               -9.279027,
-		"There":                                              -7.892732,
-		"Therefore":                                          -9.279027,
-		"These":                                              -9.279027,
-		"They":                                               -9.279027,
-		"This":                                               -6.506438,
-		"Thomas":                                             -8.585879,
-		"To":                                                 -8.585879,
-		"Tough":                                              -9.279027,
-		"Treat":                                              -9.279027,
-		"Twitter.":                                           -9.279027,
-		"U":                                                  -9.279027,
-		"UK":                                                 -9.279027,
-		"UNAME":                                              -9.279027,
-		"URI":                                                -6.060151,
-		"URL":                                                -8.180414,
-		"US":                                                 -9.279027,
-		"USA.":                                               -9.279027,
-		"USAGE":                                              -9.279027,
-		"USE":                                                -6.976441,
-		"Unicode":                                            -8.585879,
-		"Uniform":                                            -7.669589,
-		"University":                                         -8.180414,
-		"Unix":                                               -9.279027,
-		"Unrecognized":                                       -9.279027,
-		"Upon":                                               -8.585879,
-		"Usage":                                              -9.279027,
-		"Use":                                                -7.081802,
-		"User":                                               -9.279027,
-		"Using":                                              -9.279027,
-		"VALUES":                                             -7.892732,
-		"VBIOWAIT":                                           -9.279027,
-		"VERSION":                                            -9.279027,
-		"VIO":                                                -9.279027,
-		"VLAN":                                               -9.279027,
-		"Variables":                                          -9.279027,
-		"Vb":                                                 -9.279027,
-		"Ve":                                                 -8.585879,
-		"Version":                                            -9.279027,
-		"VirtIO":                                             -9.279027,
-		"VirtualBox.":                                        -9.279027,
-		"Visual":                                             -9.279027,
-		"WARRANTIES":                                         -6.794120,
-		"WAY":                                                -8.180414,
-		"WHATSOEVER":                                         -8.585879,
-		"WHETHER":                                            -7.892732,
-		"WITH":                                               -7.487267,
-		"WSCONSCTL":                                          -9.279027,
-		"WSCONSCTL.CONF":                                     -9.279027,
-		"WUMP":                                               -9.279027,
-		"War.":                                               -9.279027,
-		"Web":                                                -9.279027,
-		"What":                                               -9.279027,
-		"When":                                               -7.892732,
-		"Which":                                              -8.585879,
-		"While":                                              -9.279027,
-		"Wiki":                                               -9.279027,
-		"Windows":                                            -9.279027,
-		"WithBase":                                           -9.279027,
-		"World":                                              -9.279027,
-		"Written":                                            -9.279027,
-		"Wump":                                               -9.279027,
-		"Wumpus.":                                            -9.279027,
-		"X":                                                  -9.279027,
-		"Yanovich":                                           -9.279027,
-		"Yeah":                                               -9.279027,
-		"You":                                                -8.180414,
-		"Yurchenko":                                          -9.279027,
-		"Z":                                                  -9.279027,
-		"ZFORCE":                                             -9.279027,
-		"ZIP":                                                -9.279027,
-		"ZIP_ER_EXISTS":                                      -9.279027,
-		"ZIP_ER_INVAL":                                       -9.279027,
-		"ZIP_ER_MEMORY":                                      -9.279027,
-		"ZIP_ER_RDONLY":                                      -9.279027,
-		"ZIP_FL_OVERWRITE":                                   -9.279027,
-		"[":                                                  -7.081802,
-		"\\":                                                 -2.568503,
-		"]":                                                  -7.199585,
-		"_gz":                                                -9.279027,
-		"_port":                                              -9.279027,
-		"_t":                                                 -9.279027,
-		"`":                                                  -5.565454,
-		"a":                                                  -4.426996,
-		"ability":                                            -9.279027,
-		"about":                                              -7.892732,
-		"above":                                              -7.199585,
-		"above.":                                             -9.279027,
-		"absolute":                                           -7.333116,
-		"abstract":                                           -9.279027,
-		"access":                                             -7.892732,
-		"accessible":                                         -9.279027,
-		"accessing":                                          -9.279027,
-		"accessor":                                           -7.892732,
-		"acos":                                               -9.279027,
-		"actions":                                            -9.279027,
-		"actual":                                             -9.279027,
-		"actually":                                           -8.585879,
-		"add":                                                -9.279027,
-		"added":                                              -9.279027,
-		"adding":                                             -9.279027,
-		"addition":                                           -7.333116,
-		"address":                                            -7.892732,
-		"addresses":                                          -8.585879,
-		"adds":                                               -9.279027,
-		"adjust":                                             -9.279027,
-		"adopted":                                            -9.279027,
-		"advised":                                            -9.279027,
-		"after":                                              -9.279027,
-		"aggregates":                                         -9.279027,
-		"all":                                                -7.081802,
-		"allocated.":                                         -9.279027,
-		"almost":                                             -9.279027,
-		"alone":                                              -9.279027,
-		"already":                                            -8.585879,
-		"also":                                               -7.487267,
-		"altering":                                           -9.279027,
-		"alternative":                                        -8.585879,
-		"alternative.":                                       -9.279027,
-		"always":                                             -7.669589,
-		"am":                                                 -9.279027,
-		"amnprsv":                                            -9.279027,
-		"an":                                                 -5.590147,
-		"analysis":                                           -9.279027,
-		"and":                                                -4.450713,
-		"and/or":                                             -8.180414,
-		"anonymous":                                          -8.585879,
-		"another":                                            -9.279027,
-		"ansiC":                                              -9.279027,
-		"any":                                                -7.199585,
-		"anybody":                                            -8.585879,
-		"anything":                                           -9.279027,
-		"appear":                                             -9.279027,
-		"appeared":                                           -7.199585,
-		"appearing":                                          -9.279027,
-		"applicable":                                         -9.279027,
-		"applies":                                            -8.585879,
-		"appropriate":                                        -9.279027,
-		"aq":                                                 -9.279027,
-		"architecture":                                       -9.279027,
-		"archive":                                            -9.279027,
-		"archive.":                                           -9.279027,
-		"archives.":                                          -9.279027,
-		"are":                                                -5.308735,
-		"are.":                                               -9.279027,
-		"aren":                                               -9.279027,
-		"arg":                                                -9.279027,
-		"args":                                               -9.279027,
-		"argue":                                              -9.279027,
-		"argument":                                           -6.794120,
-		"argument.":                                          -9.279027,
-		"arguments":                                          -7.669589,
-		"around":                                             -9.279027,
-		"array":                                              -8.180414,
-		"array.":                                             -9.279027,
-		"arrogant":                                           -9.279027,
-		"arsehole":                                           -9.279027,
-		"as":                                                 -5.472364,
-		"asin":                                               -9.279027,
-		"ask":                                                -9.279027,
-		"aspects":                                            -9.279027,
-		"assignments":                                        -8.585879,
-		"assume":                                             -8.180414,
-		"assumed":                                            -8.585879,
-		"at":                                                 -6.388655,
-		"atan":                                               -8.585879,
-		"atomically":                                         -9.279027,
-		"attached":                                           -9.279027,
-		"attribute":                                          -9.279027,
-		"auth":                                               -9.279027,
-		"author":                                             -8.585879,
-		"authored":                                           -9.279027,
-		"authority":                                          -8.585879,
-		"authors":                                            -9.279027,
-		"autoconf":                                           -8.585879,
-		"automatically":                                      -8.585879,
-		"available":                                          -6.794120,
-		"avalanche":                                          -9.279027,
-		"b":                                                  -9.279027,
-		"base_uri":                                           -8.585879,
-		"based":                                              -7.669589,
-		"be":                                                 -5.218584,
-		"because":                                            -8.585879,
-		"beck@openbsd.org":                                   -9.279027,
-		"become":                                             -8.585879,
-		"becomes":                                            -9.279027,
-		"been":                                               -7.892732,
-		"before":                                             -8.180414,
-		"behaviour":                                          -9.279027,
-		"behaviour.":                                         -9.279027,
-		"being":                                              -8.585879,
-		"bell":                                               -8.180414,
-		"belonging":                                          -6.976441,
-		"belongs.":                                           -9.279027,
-		"below":                                              -9.279027,
-		"between":                                            -8.585879,
-		"bhyve":                                              -9.279027,
-		"bikeshedding":                                       -9.279027,
-		"binary":                                             -7.669589,
-		"bins":                                               -8.585879,
-		"bit":                                                -8.585879,
-		"black":                                              -9.279027,
-		"blerg":                                              -9.279027,
-		"blocked":                                            -9.279027,
-		"bodies":                                             -9.279027,
-		"boolean":                                            -9.279027,
-		"boot":                                               -9.279027,
-		"both.":                                              -9.279027,
-		"bothers":                                            -9.279027,
-		"boundary":                                           -9.279027,
-		"bracketing":                                         -9.279027,
-		"brackets":                                           -8.180414,
-		"brackets.":                                          -8.585879,
-		"brings":                                             -9.279027,
-		"bu":                                                 -6.388655,
-		"bug":                                                -7.892732,
-		"bugs":                                               -9.279027,
-		"buildchain":                                         -9.279027,
-		"burner":                                             -9.279027,
-		"but":                                                -6.881131,
-		"by":                                                 -5.450385,
-		"c":                                                  -7.669589,
-		"call":                                               -7.669589,
-		"called":                                             -7.892732,
-		"can":                                                -5.695508,
-		"care":                                               -9.279027,
-		"case":                                               -8.180414,
-		"cases":                                              -9.279027,
-		"cause":                                              -9.279027,
-		"change":                                             -9.279027,
-		"changed":                                            -9.279027,
-		"changed.":                                           -9.279027,
-		"channel":                                            -9.279027,
-		"char":                                               -8.585879,
-		"character":                                          -7.199585,
-		"characteristics":                                    -9.279027,
-		"characters":                                         -7.669589,
-		"chars":                                              -9.279027,
-		"check":                                              -9.279027,
-		"class":                                              -8.180414,
-		"class.":                                             -9.279027,
-		"classes":                                            -9.279027,
-		"classified":                                         -9.279027,
-		"clear":                                              -9.279027,
-		"clears":                                             -9.279027,
-		"cli":                                                -8.585879,
-		"cnst":                                               -9.279027,
-		"code":                                               -7.333116,
-		"coder":                                              -9.279027,
-		"coding":                                             -9.279027,
-		"colon":                                              -8.585879,
-		"colon.":                                             -9.279027,
-		"column":                                             -9.279027,
-		"combination":                                        -9.279027,
-		"combining":                                          -9.279027,
-		"come":                                               -9.279027,
-		"comfortable":                                        -9.279027,
-		"coming":                                             -9.279027,
-		"comma":                                              -9.279027,
-		"command":                                            -6.881131,
-		"commands":                                           -8.585879,
-		"comments":                                           -9.279027,
-		"common":                                             -6.794120,
-		"common/generic":                                     -9.279027,
-		"commonly":                                           -8.585879,
-		"communications":                                     -9.279027,
-		"compact":                                            -8.180414,
-		"comparisons":                                        -9.279027,
-		"compelled":                                          -9.279027,
-		"compiled":                                           -9.279027,
-		"compiling":                                          -9.279027,
-		"completion":                                         -8.585879,
-		"compliant":                                          -9.279027,
-		"component":                                          -7.081802,
-		"component.":                                         -8.180414,
-		"components":                                         -7.333116,
-		"components.":                                        -7.892732,
-		"compress":                                           -8.585879,
-		"compressed":                                         -9.279027,
-		"computes":                                           -9.279027,
-		"concept":                                            -9.279027,
-		"conditions":                                         -6.976441,
-		"config":                                             -7.892732,
-		"configs":                                            -9.279027,
-		"configurable":                                       -9.279027,
-		"configuration":                                      -9.279027,
-		"configured":                                         -9.279027,
-		"conflicts":                                          -9.279027,
-		"conform":                                            -9.279027,
-		"conforms":                                           -8.180414,
-		"connections.":                                       -9.279027,
-		"considered":                                         -8.585879,
-		"consistent":                                         -9.279027,
-		"consists":                                           -9.279027,
-		"console":                                            -9.279027,
-		"const":                                              -7.892732,
-		"construct":                                          -9.279027,
-		"constructed":                                        -9.279027,
-		"constructor":                                        -8.585879,
-		"constructs":                                         -9.279027,
-		"contain":                                            -9.279027,
-		"containing":                                         -8.180414,
-		"contains":                                           -7.892732,
-		"content.":                                           -9.279027,
-		"context":                                            -8.180414,
-		"context.":                                           -9.279027,
-		"contributing":                                       -9.279027,
-		"contributions":                                      -9.279027,
-		"contributors":                                       -9.279027,
-		"contributors.":                                      -9.279027,
-		"control":                                            -7.333116,
-		"copies.":                                            -8.585879,
-		"copy":                                               -8.585879,
-		"copyright":                                          -7.669589,
-		"corresponding":                                      -7.892732,
-		"cos":                                                -9.279027,
-		"cosh":                                               -9.279027,
-		"cost":                                               -9.279027,
-		"could":                                              -8.585879,
-		"country.":                                           -9.279027,
-		"course":                                             -9.279027,
-		"curly":                                              -9.279027,
-		"current":                                            -7.199585,
-		"currently":                                          -9.279027,
-		"cursor":                                             -9.279027,
-		"d":                                                  -7.892732,
-		"data":                                               -8.585879,
-		"data.":                                              -9.279027,
-		"default":                                            -6.639969,
-		"default.":                                           -8.585879,
-		"defaults":                                           -9.279027,
-		"defeats":                                            -9.279027,
-		"defined":                                            -8.585879,
-		"definitions":                                        -8.180414,
-		"delimited":                                          -9.279027,
-		"denote":                                             -9.279027,
-		"denotes":                                            -8.180414,
-		"depend":                                             -9.279027,
-		"dependency":                                         -9.279027,
-		"depends":                                            -9.279027,
-		"derived":                                            -8.585879,
-		"describe":                                           -9.279027,
-		"described":                                          -8.585879,
-		"describes":                                          -9.279027,
-		"description":                                        -9.279027,
-		"descriptions":                                       -9.279027,
-		"designated":                                         -9.279027,
-		"detailed":                                           -9.279027,
-		"details.":                                           -7.892732,
-		"determine":                                          -9.279027,
-		"determines":                                         -9.279027,
-		"develop":                                            -9.279027,
-		"developed":                                          -8.180414,
-		"device":                                             -6.794120,
-		"device.":                                            -8.585879,
-		"devices":                                            -8.180414,
-		"devnum":                                             -8.585879,
-		"die":                                                -9.279027,
-		"different.":                                         -8.180414,
-		"difficult":                                          -9.279027,
-		"diffs":                                              -9.279027,
-		"digits":                                             -9.279027,
-		"dillo@nih.at":                                       -9.279027,
-		"direct":                                             -9.279027,
-		"directly":                                           -8.585879,
-		"directory":                                          -8.585879,
-		"directory.":                                         -9.279027,
-		"disables":                                           -9.279027,
-		"disagree":                                           -9.279027,
-		"disclaimer":                                         -8.180414,
-		"disclaimer.":                                        -7.892732,
-		"discover":                                           -9.279027,
-		"dislike":                                            -9.279027,
-		"display":                                            -7.892732,
-		"display.":                                           -9.279027,
-		"display.screen_off":                                 -9.279027,
-		"displays":                                           -9.279027,
-		"distinction":                                        -9.279027,
-		"distribute":                                         -9.279027,
-		"distribution":                                       -9.279027,
-		"distribution.":                                      -8.585879,
-		"divided":                                            -9.279027,
-		"dlg@openbsd.org":                                    -9.279027,
-		"do":                                                 -8.585879,
-		"document":                                           -9.279027,
-		"documentation":                                      -7.892732,
-		"does":                                               -7.669589,
-		"doesn":                                              -8.585879,
-		"don":                                                -7.892732,
-		"dots.":                                              -9.279027,
-		"double":                                             -8.180414,
-		"draft":                                              -8.585879,
-		"driver":                                             -7.333116,
-		"du.":                                                -9.279027,
-		"during":                                             -7.892732,
-		"e":                                                  -9.279027,
-		"each":                                               -8.180414,
-		"eccentric.":                                         -9.279027,
-		"effect":                                             -8.180414,
-		"efforts.":                                           -9.279027,
-		"egrep":                                              -8.180414,
-		"eilmnw":                                             -9.279027,
-		"either":                                             -7.669589,
-		"either.":                                            -9.279027,
-		"elastic":                                            -9.279027,
-		"element":                                            -9.279027,
-		"elements":                                           -9.279027,
-		"else":                                               -9.279027,
-		"else/code":                                          -9.279027,
-		"emoji":                                              -9.279027,
-		"empty":                                              -8.180414,
-		"emulation":                                          -9.279027,
-		"en":                                                 -9.279027,
-		"enclose":                                            -9.279027,
-		"enclosed":                                           -8.585879,
-		"encoded":                                            -8.585879,
-		"encoded.":                                           -9.279027,
-		"encoding":                                           -7.333116,
-		"encodings":                                          -9.279027,
-		"encodings.":                                         -9.279027,
-		"encrypted":                                          -9.279027,
-		"end":                                                -9.279027,
-		"endorse":                                            -8.180414,
-		"ends":                                               -9.279027,
-		"enjoy":                                              -9.279027,
-		"enough":                                             -9.279027,
-		"ensures":                                            -9.279027,
-		"entire":                                             -9.279027,
-		"entity.":                                            -9.279027,
-		"entries":                                            -8.585879,
-		"env":                                                -8.585879,
-		"environment":                                        -9.279027,
-		"environments":                                       -9.279027,
-		"equivalent":                                         -9.279027,
-		"errno":                                              -9.279027,
-		"error":                                              -8.180414,
-		"error.":                                             -9.279027,
-		"errors":                                             -9.279027,
-		"escaped":                                            -7.333116,
-		"escaping":                                           -9.279027,
-		"etc.":                                               -9.279027,
-		"even":                                               -8.180414,
-		"every":                                              -9.279027,
-		"everything":                                         -9.279027,
-		"ex":                                                 -9.279027,
-		"example":                                            -8.180414,
-		"exception":                                          -8.180414,
-		"exclusive":                                          -9.279027,
-		"excuse":                                             -9.279027,
-		"executable":                                         -9.279027,
-		"executed":                                           -9.279027,
-		"existing":                                           -8.585879,
-		"exists.":                                            -8.585879,
-		"expand":                                             -9.279027,
-		"expect":                                             -8.585879,
-		"explanation":                                        -9.279027,
-		"explicitly":                                         -9.279027,
-		"expression":                                         -9.279027,
-		"expressions":                                        -9.279027,
-		"expressions.":                                       -9.279027,
-		"extended":                                           -8.180414,
-		"extension":                                          -9.279027,
-		"extremely":                                          -9.279027,
-		"f":                                                  -5.151892,
-		"fB":                                                 -6.388655,
-		"fBanywhere":                                         -9.279027,
-		"fBexport":                                           -9.279027,
-		"fBglobalconfig":                                     -9.279027,
-		"fBlyxclient":                                        -8.585879,
-		"fBnpm":                                              -7.487267,
-		"fBnpm_config_":                                      -9.279027,
-		"fBprefix/bin":                                       -9.279027,
-		"fBprefix/lib/node_modules":                          -9.279027,
-		"fBtrue":                                             -9.279027,
-		"fBuri_split":                                        -9.279027,
-		"fBuserconfig":                                       -9.279027,
-		"fINOT":                                              -9.279027,
-		"fIauthority":                                        -9.279027,
-		"fIex":                                               -8.585879,
-		"fIfile":                                             -9.279027,
-		"fIftp":                                              -9.279027,
-		"fIgopher":                                           -9.279027,
-		"fIhttp":                                             -8.585879,
-		"fIhttps":                                            -6.794120,
-		"fIldap":                                             -9.279027,
-		"fInever":                                            -9.279027,
-		"fInews":                                             -8.585879,
-		"fInntp":                                             -9.279027,
-		"fInot":                                              -9.279027,
-		"fIpath":                                             -9.279027,
-		"fIpath_segment":                                     -9.279027,
-		"fIrlogin":                                           -9.279027,
-		"fIrtspu":                                            -9.279027,
-		"fIsip":                                              -8.585879,
-		"fIsnews":                                            -9.279027,
-		"fItelnet":                                           -9.279027,
-		"fP":                                                 -6.506438,
-		"fR":                                                 -4.615587,
-		"fR.":                                                -8.180414,
-		"fRcommand":                                          -9.279027,
-		"fRmakefile":                                         -9.279027,
-		"fRoption":                                           -9.279027,
-		"fRpatfile":                                          -9.279027,
-		"fact":                                               -9.279027,
-		"fail":                                               -8.585879,
-		"feature.":                                           -9.279027,
-		"fee":                                                -7.669589,
-		"feels":                                              -9.279027,
-		"felt":                                               -9.279027,
-		"fi":                                                 -9.279027,
-		"field":                                              -9.279027,
-		"field.":                                             -9.279027,
-		"file":                                               -6.187984,
-		"file.":                                              -8.180414,
-		"files":                                              -6.881131,
-		"files.":                                             -9.279027,
-		"find":                                               -8.585879,
-		"first":                                              -6.881131,
-		"fix":                                                -9.279027,
-		"flag":                                               -7.669589,
-		"flags":                                              -7.892732,
-		"float":                                              -9.279027,
-		"fluidly":                                            -9.279027,
-		"folded":                                             -9.279027,
-		"folders":                                            -9.279027,
-		"follow":                                             -9.279027,
-		"following":                                          -6.388655,
-		"follows":                                            -8.585879,
-		"font":                                               -9.279027,
-		"for":                                                -5.428879,
-		"force":                                              -9.279027,
-		"forced":                                             -9.279027,
-		"forces":                                             -9.279027,
-		"form":                                               -8.180414,
-		"form.":                                              -9.279027,
-		"format.":                                            -9.279027,
-		"formatted":                                          -9.279027,
-		"forms":                                              -8.180414,
-		"forum":                                              -9.279027,
-		"found":                                              -7.199585,
-		"fragment":                                           -9.279027,
-		"framework":                                          -7.669589,
-		"framework.":                                         -9.279027,
-		"free":                                               -9.279027,
-		"from":                                               -6.187984,
-		"ft":                                                 -9.279027,
-		"ftp":                                                -9.279027,
-		"full":                                               -8.180414,
-		"func":                                               -8.585879,
-		"function":                                           -6.794120,
-		"function.":                                          -9.279027,
-		"functions":                                          -7.199585,
-		"further":                                            -7.892732,
-		"further.":                                           -9.279027,
-		"g":                                                  -9.279027,
-		"game":                                               -8.585879,
-		"gather_profile_stats.py":                            -8.585879,
-		"gcc":                                                -9.279027,
-		"generate":                                           -9.279027,
-		"generated":                                          -9.279027,
-		"generic":                                            -6.881131,
-		"get":                                                -7.333116,
-		"gilman":                                             -9.279027,
-		"git":                                                -8.585879,
-		"give":                                               -8.180414,
-		"given":                                              -7.669589,
-		"global":                                             -8.180414,
-		"gonna":                                              -9.279027,
-		"good":                                               -9.279027,
-		"goofy":                                              -9.279027,
-		"gopher":                                             -9.279027,
-		"got":                                                -9.279027,
-		"governed":                                           -9.279027,
-		"grange@openbsd.org":                                 -9.279027,
-		"granted":                                            -7.669589,
-		"graphics":                                           -9.279027,
-		"greater":                                            -9.279027,
-		"grep":                                               -8.585879,
-		"grep.":                                              -9.279027,
-		"group":                                              -8.180414,
-		"guest.":                                             -9.279027,
-		"guidelines":                                         -8.585879,
-		"gyp":                                                -7.081802,
-		"gyp/wiki":                                           -9.279027,
-		"gz":                                                 -9.279027,
-		"gzip":                                               -7.669589,
-		"h":                                                  -9.279027,
-		"had":                                                -9.279027,
-		"handshake.":                                         -9.279027,
-		"hardware":                                           -8.585879,
-		"has":                                                -7.487267,
-		"hash":                                               -9.279027,
-		"hassle.":                                            -9.279027,
-		"have":                                               -6.976441,
-		"having":                                             -9.279027,
-		"headers.":                                           -9.279027,
-		"help":                                               -6.794120,
-		"helped.":                                            -9.279027,
-		"hereby":                                             -7.669589,
-		"hierarchical":                                       -9.279027,
-		"history":                                            -9.279027,
-		"honest":                                             -9.279027,
-		"hopefully":                                          -8.585879,
-		"host":                                               -7.199585,
-		"host.":                                              -9.279027,
-		"host_port":                                          -9.279027,
-		"hostname":                                           -9.279027,
-		"hostname.":                                          -9.279027,
-		"http":                                               -7.892732,
-		"https":                                              -8.585879,
-		"hunt":                                               -9.279027,
-		"hw.sensors":                                         -9.279027,
-		"i":                                                  -8.585879,
-		"i.e.":                                               -8.180414,
-		"i@izs":                                              -9.279027,
-		"idea":                                               -9.279027,
-		"identical":                                          -9.279027,
-		"identifier":                                         -9.279027,
-		"identifies":                                         -9.279027,
-		"if":                                                 -5.983190,
-		"ignored.":                                           -9.279027,
-		"imagine":                                            -9.279027,
-		"imperative":                                         -9.279027,
-		"implementation":                                     -9.279027,
-		"implementations":                                    -9.279027,
-		"implementations.":                                   -9.279027,
-		"implemented.":                                       -9.279027,
-		"implies":                                            -9.279027,
-		"imply":                                              -9.279027,
-		"important":                                          -9.279027,
-		"improved":                                           -9.279027,
-		"in":                                                 -4.836375,
-		"inaccessible":                                       -9.279027,
-		"inclined":                                           -9.279027,
-		"include":                                            -9.279027,
-		"includes":                                           -9.279027,
-		"indent":                                             -8.585879,
-		"independent":                                        -9.279027,
-		"index":                                              -7.892732,
-		"indicate":                                           -8.585879,
-		"indicates":                                          -9.279027,
-		"individual":                                         -9.279027,
-		"info":                                               -8.585879,
-		"information":                                        -8.585879,
-		"ini":                                                -9.279027,
-		"install":                                            -7.081802,
-		"installed":                                          -7.892732,
-		"installs":                                           -8.585879,
-		"instance":                                           -9.279027,
-		"instances":                                          -9.279027,
-		"instead":                                            -7.333116,
-		"instead.":                                           -9.279027,
-		"int":                                                -7.892732,
-		"integer":                                            -9.279027,
-		"intelligently":                                      -9.279027,
-		"interface":                                          -8.585879,
-		"interface.":                                         -9.279027,
-		"internal":                                           -9.279027,
-		"interpreting":                                       -9.279027,
-		"interval":                                           -9.279027,
-		"into":                                               -7.892732,
-		"intro":                                              -9.279027,
-		"introducing":                                        -9.279027,
-		"invalid":                                            -9.279027,
-		"invalid.":                                           -9.279027,
-		"invention":                                          -9.279027,
-		"invisible":                                          -9.279027,
-		"is":                                                 -4.426996,
-		"is_utf":                                             -9.279027,
-		"isaacs":                                             -9.279027,
-		"isn":                                                -8.585879,
-		"issues":                                             -8.585879,
-		"it":                                                 -5.946822,
-		"it.":                                                -8.180414,
-		"its":                                                -7.199585,
-		"itself":                                             -9.279027,
-		"itself.":                                            -9.279027,
-		"javascript":                                         -9.279027,
-		"just":                                               -9.279027,
-		"justifying":                                         -9.279027,
-		"kbd":                                                -8.585879,
-		"keeping":                                            -8.585879,
-		"kept":                                               -9.279027,
-		"key":                                                -7.669589,
-		"keyboard":                                           -6.794120,
-		"keyboard.encoding":                                  -9.279027,
-		"keyboard/mouse/display":                             -9.279027,
-		"keycodes":                                           -9.279027,
-		"keys":                                               -8.585879,
-		"keysyms":                                            -9.279027,
-		"keywords":                                           -8.180414,
-		"keywords.":                                          -9.279027,
-		"kinda":                                              -9.279027,
-		"kleink":                                             -9.279027,
-		"know":                                               -8.585879,
-		"known":                                              -9.279027,
-		"ksensor":                                            -8.585879,
-		"ksensordev":                                         -8.585879,
-		"l":                                                  -9.279027,
-		"labels":                                             -9.279027,
-		"labels.":                                            -9.279027,
-		"language":                                           -8.585879,
-		"large":                                              -9.279027,
-		"later":                                              -9.279027,
-		"latest":                                             -9.279027,
-		"layout":                                             -8.585879,
-		"ldap":                                               -9.279027,
-		"leading":                                            -8.180414,
-		"learn":                                              -9.279027,
-		"least":                                              -9.279027,
-		"leave":                                              -9.279027,
-		"leaves":                                             -9.279027,
-		"left":                                               -9.279027,
-		"length":                                             -9.279027,
-		"less":                                               -9.279027,
-		"let":                                                -9.279027,
-		"letters":                                            -9.279027,
-		"library":                                            -9.279027,
-		"libtls":                                             -9.279027,
-		"libwww":                                             -9.279027,
-		"libzip":                                             -8.585879,
-		"license":                                            -9.279027,
-		"license.":                                           -9.279027,
-		"like":                                               -7.487267,
-		"limit":                                              -8.585879,
-		"limited":                                            -9.279027,
-		"limits":                                             -9.279027,
-		"line":                                               -7.333116,
-		"line.":                                              -9.279027,
-		"lines":                                              -7.892732,
-		"lines.":                                             -9.279027,
-		"lining":                                             -9.279027,
-		"linked":                                             -9.279027,
-		"list":                                               -6.506438,
-		"literal":                                            -8.585879,
-		"literals":                                           -9.279027,
-		"little":                                             -9.279027,
-		"ll":                                                 -8.585879,
-		"load":                                               -9.279027,
-		"loaded":                                             -9.279027,
-		"local":                                              -9.279027,
-		"location":                                           -8.585879,
-		"lock":                                               -9.279027,
-		"logic":                                              -9.279027,
-		"logs":                                               -9.279027,
-		"long":                                               -8.180414,
-		"longer":                                             -9.279027,
-		"lot":                                                -9.279027,
-		"love":                                               -9.279027,
-		"lower":                                              -9.279027,
-		"lyx@version_suffix@":                                -9.279027,
-		"lyxclient":                                          -9.279027,
-		"lyxclient.":                                         -8.585879,
-		"m":                                                  -6.881131,
-		"machine":                                            -7.892732,
-		"macro":                                              -9.279027,
-		"made":                                               -9.279027,
-		"magnitude":                                          -9.279027,
-		"mailto":                                             -8.180414,
-		"maintaining":                                        -9.279027,
-		"make":                                               -7.892732,
-		"makefile":                                           -7.487267,
-		"makefile.":                                          -8.180414,
-		"makefiles":                                          -9.279027,
-		"makes":                                              -9.279027,
-		"making":                                             -9.279027,
-		"man":                                                -8.585879,
-		"manager":                                            -8.585879,
-		"manages":                                            -9.279027,
-		"mandatory":                                          -9.279027,
-		"manipulate":                                         -8.585879,
-		"manipulation":                                       -9.279027,
-		"mapped":                                             -9.279027,
-		"mapping":                                            -8.585879,
-		"maps":                                               -9.279027,
-		"mark":                                               -9.279027,
-		"marked":                                             -9.279027,
-		"matches":                                            -8.585879,
-		"matching":                                           -8.180414,
-		"materials":                                          -8.585879,
-		"math.h":                                             -9.279027,
-		"matter":                                             -8.585879,
-		"maximum":                                            -8.585879,
-		"maxsize":                                            -9.279027,
-		"may":                                                -7.487267,
-		"mdoc":                                               -9.279027,
-		"me":                                                 -8.585879,
-		"meaningful":                                         -9.279027,
-		"measured":                                           -9.279027,
-		"mechanism":                                          -9.279027,
-		"media_type":                                         -9.279027,
-		"memory":                                             -9.279027,
-		"met":                                                -8.180414,
-		"method":                                             -7.892732,
-		"methods":                                            -6.283294,
-		"methods.":                                           -7.333116,
-		"might":                                              -9.279027,
-		"minimum":                                            -8.585879,
-		"mkmf":                                               -9.279027,
-		"mms":                                                -9.279027,
-		"mnrsv":                                              -9.279027,
-		"mode":                                               -7.892732,
-		"mode.":                                              -8.585879,
-		"modes":                                              -9.279027,
-		"modes.":                                             -9.279027,
-		"modification":                                       -7.892732,
-		"modified":                                           -9.279027,
-		"modifier":                                           -9.279027,
-		"modifier.":                                          -9.279027,
-		"modify":                                             -7.892732,
-		"module":                                             -7.892732,
-		"modules":                                            -9.279027,
-		"moment":                                             -9.279027,
-		"more":                                               -6.794120,
-		"most":                                               -7.892732,
-		"motivation":                                         -9.279027,
-		"mouse":                                              -8.585879,
-		"multiple":                                           -9.279027,
-		"murali":                                             -9.279027,
-		"must":                                               -7.081802,
-		"mutually":                                           -9.279027,
-		"my":                                                 -7.892732,
-		"n":                                                  -5.723678,
-		"name":                                               -6.445813,
-		"name.":                                              -7.892732,
-		"names":                                              -7.081802,
-		"namespace":                                          -8.585879,
-		"namespaces.":                                        -9.279027,
-		"nation":                                             -9.279027,
-		"national":                                           -9.279027,
-		"native":                                             -9.279027,
-		"needed":                                             -9.279027,
-		"needs":                                              -7.892732,
-		"nested.":                                            -9.279027,
-		"network":                                            -8.180414,
-		"never":                                              -8.585879,
-		"new":                                                -7.333116,
-		"new_host":                                           -9.279027,
-		"news":                                               -8.585879,
-		"no":                                                 -6.794120,
-		"node":                                               -7.081802,
-		"nodename":                                           -8.585879,
-		"non":                                                -9.279027,
-		"none":                                               -8.585879,
-		"nor":                                                -9.279027,
-		"normalising":                                        -9.279027,
-		"nosplit":                                            -8.585879,
-		"not":                                                -6.100973,
-		"notation":                                           -9.279027,
-		"notice":                                             -7.081802,
-		"npm":                                                -6.187984,
-		"npm_config_key":                                     -9.279027,
-		"npmrc":                                              -9.279027,
-		"nroff":                                              -9.279027,
-		"number":                                             -6.639969,
-		"number.":                                            -8.180414,
-		"numt":                                               -9.279027,
-		"o":                                                  -9.279027,
-		"object":                                             -7.669589,
-		"object.":                                            -9.279027,
-		"objects":                                            -6.881131,
-		"objects.":                                           -9.279027,
-		"obtained":                                           -9.279027,
-		"of":                                                 -4.458745,
-		"offset":                                             -8.585879,
-		"okay":                                               -9.279027,
-		"old":                                                -7.487267,
-		"on":                                                 -6.570976,
-		"one":                                                -6.794120,
-		"one.":                                               -9.279027,
-		"only":                                               -7.669589,
-		"only.":                                              -8.180414,
-		"open":                                               -9.279027,
-		"openbsd@bugmail.mojo.ru":                            -9.279027,
-		"opened":                                             -9.279027,
-		"operate":                                            -9.279027,
-		"operating":                                          -7.669589,
-		"operation":                                          -8.585879,
-		"operations":                                         -8.180414,
-		"operator.":                                          -9.279027,
-		"option":                                             -7.081802,
-		"option.":                                            -8.585879,
-		"optional":                                           -9.279027,
-		"options":                                            -7.669589,
-		"or":                                                 -5.428879,
-		"order.":                                             -8.585879,
-		"ordinal":                                            -8.180414,
-		"org/Addressing/":                                    -9.279027,
-		"other":                                              -8.180414,
-		"otherwise":                                          -9.279027,
-		"out":                                                -8.180414,
-		"output.":                                            -8.180414,
-		"outstanding":                                        -8.180414,
-		"over":                                               -9.279027,
-		"overloading":                                        -9.279027,
-		"overridden":                                         -9.279027,
-		"override":                                           -9.279027,
-		"overwrites":                                         -9.279027,
-		"own":                                                -8.585879,
-		"p":                                                  -7.081802,
-		"package":                                            -7.669589,
-		"packages":                                           -8.180414,
-		"packets":                                            -9.279027,
-		"page":                                               -9.279027,
-		"pairs":                                              -9.279027,
-		"panic":                                              -8.585879,
-		"parameter":                                          -8.585879,
-		"parameters":                                         -7.892732,
-		"parameters.":                                        -9.279027,
-		"params.":                                            -9.279027,
-		"params_form":                                        -9.279027,
-		"parsed":                                             -8.180414,
-		"parsing":                                            -9.279027,
-		"part":                                               -7.199585,
-		"particular":                                         -8.585879,
-		"parts":                                              -8.585879,
-		"pass":                                               -9.279027,
-		"passed":                                             -8.180414,
-		"passed.":                                            -9.279027,
-		"passing":                                            -8.180414,
-		"password":                                           -9.279027,
-		"password.":                                          -8.585879,
-		"passwords":                                          -9.279027,
-		"patfile.":                                           -9.279027,
-		"path":                                               -7.081802,
-		"path.":                                              -8.180414,
-		"paths":                                              -9.279027,
-		"pattern":                                            -7.669589,
-		"pattern.":                                           -7.892732,
-		"patterns":                                           -8.585879,
-		"pause":                                              -9.279027,
-		"pckbd":                                              -9.279027,
-		"pedantic":                                           -9.279027,
-		"pen":                                                -9.279027,
-		"pending":                                            -7.669589,
-		"pending.":                                           -9.279027,
-		"people":                                             -9.279027,
-		"per":                                                -9.279027,
-		"percent":                                            -8.180414,
-		"perform":                                            -9.279027,
-		"performance.":                                       -9.279027,
-		"performed":                                          -9.279027,
-		"period":                                             -9.279027,
-		"periodic":                                           -9.279027,
-		"periodically.":                                      -9.279027,
-		"perl":                                               -8.180414,
-		"perl.":                                              -9.279027,
-		"permission":                                         -9.279027,
-		"permission.":                                        -8.180414,
-		"permitted":                                          -8.180414,
-		"person":                                             -9.279027,
-		"personal":                                           -9.279027,
-		"pgrep":                                              -7.892732,
-		"physical":                                           -9.279027,
-		"pid":                                                -9.279027,
-		"pid.":                                               -9.279027,
-		"pitch":                                              -8.585879,
-		"place":                                              -9.279027,
-		"platform":                                           -9.279027,
-		"plays":                                              -9.279027,
-		"please":                                             -8.585879,
-		"point":                                              -8.585879,
-		"pointer":                                            -8.180414,
-		"points":                                             -9.279027,
-		"policy":                                             -7.892732,
-		"pop":                                                -9.279027,
-		"port":                                               -6.794120,
-		"port.":                                              -8.180414,
-		"ported":                                             -9.279027,
-		"possible":                                           -7.892732,
-		"practice":                                           -9.279027,
-		"precisely":                                          -9.279027,
-		"precision":                                          -8.585879,
-		"predefined":                                         -9.279027,
-		"prefer":                                             -9.279027,
-		"prefix":                                             -9.279027,
-		"prefixing":                                          -9.279027,
-		"preinstalled":                                       -9.279027,
-		"present":                                            -7.892732,
-		"preserved":                                          -9.279027,
-		"pressed":                                            -8.585879,
-		"prevents":                                           -9.279027,
-		"previous":                                           -9.279027,
-		"previously":                                         -8.585879,
-		"prick":                                              -9.279027,
-		"principal":                                          -9.279027,
-		"print":                                              -8.585879,
-		"printed":                                            -8.585879,
-		"printing":                                           -9.279027,
-		"prints":                                             -8.585879,
-		"prior":                                              -8.180414,
-		"priority":                                           -8.180414,
-		"priority.":                                          -9.279027,
-		"probably":                                           -8.585879,
-		"process":                                            -8.180414,
-		"processed":                                          -9.279027,
-		"processor":                                          -8.180414,
-		"products":                                           -8.585879,
-		"profiling":                                          -9.279027,
-		"program":                                            -9.279027,
-		"program.":                                           -9.279027,
-		"programs":                                           -9.279027,
-		"project":                                            -7.892732,
-		"projects":                                           -8.585879,
-		"projects.":                                          -9.279027,
-		"promiscuous":                                        -9.279027,
-		"promote":                                            -8.180414,
-		"proper":                                             -9.279027,
-		"proven":                                             -9.279027,
-		"provide":                                            -7.892732,
-		"provided":                                           -6.570976,
-		"provides":                                           -7.892732,
-		"pthread":                                            -9.279027,
-		"pthread_sigmask":                                    -9.279027,
-		"pthreads":                                           -9.279027,
-		"publish":                                            -9.279027,
-		"purpose":                                            -7.487267,
-		"purposes":                                           -9.279027,
-		"put":                                                -9.279027,
-		"puts":                                               -8.585879,
-		"python":                                             -9.279027,
-		"query":                                              -6.976441,
-		"queue":                                              -9.279027,
-		"queue.":                                             -9.279027,
-		"quite":                                              -9.279027,
-		"quote":                                              -8.585879,
-		"quote.":                                             -9.279027,
-		"quotes.":                                            -9.279027,
-		"r":                                                  -9.279027,
-		"radians":                                            -9.279027,
-		"raised":                                             -9.279027,
-		"ramblings":                                          -9.279027,
-		"range":                                              -9.279027,
-		"rare":                                               -9.279027,
-		"raw":                                                -8.585879,
-		"rc":                                                 -8.180414,
-		"re":                                                 -7.892732,
-		"read":                                               -7.892732,
-		"readable":                                           -8.585879,
-		"reading.":                                           -9.279027,
-		"real":                                               -9.279027,
-		"really":                                             -9.279027,
-		"reason":                                             -9.279027,
-		"rebasing":                                           -9.279027,
-		"received":                                           -9.279027,
-		"recommended.":                                       -9.279027,
-		"redistribute":                                       -9.279027,
-		"reduced":                                            -9.279027,
-		"refer":                                              -8.585879,
-		"reference":                                          -7.669589,
-		"reference.":                                         -9.279027,
-		"referenced":                                         -8.585879,
-		"references":                                         -8.180414,
-		"regexp":                                             -9.279027,
-		"region":                                             -9.279027,
-		"register":                                           -8.585879,
-		"registered":                                         -8.585879,
-		"registers":                                          -9.279027,
-		"registry":                                           -9.279027,
-		"regular":                                            -8.180414,
-		"related":                                            -7.892732,
-		"relating":                                           -9.279027,
-		"relative":                                           -7.333116,
-		"release.":                                           -9.279027,
-		"remaining":                                          -8.585879,
-		"remove":                                             -8.180414,
-		"remove.":                                            -9.279027,
-		"removed":                                            -8.585879,
-		"removes":                                            -8.180414,
-		"renames":                                            -9.279027,
-		"replace":                                            -9.279027,
-		"report":                                             -8.585879,
-		"reporting":                                          -9.279027,
-		"repository":                                         -9.279027,
-		"represent":                                          -9.279027,
-		"representation":                                     -9.279027,
-		"representing":                                       -9.279027,
-		"reproduce":                                          -8.585879,
-		"reproduction":                                       -9.279027,
-		"requested":                                          -9.279027,
-		"requires":                                           -8.585879,
-		"reserved.":                                          -8.180414,
-		"resolves":                                           -9.279027,
-		"resource":                                           -8.585879,
-		"resource.":                                          -9.279027,
-		"respectively":                                       -9.279027,
-		"respectively.":                                      -9.279027,
-		"response":                                           -9.279027,
-		"responsible":                                        -9.279027,
-		"responsibly.":                                       -9.279027,
-		"result":                                             -9.279027,
-		"retain":                                             -8.585879,
-		"retrieve":                                           -9.279027,
-		"retrieving":                                         -9.279027,
-		"return":                                             -7.199585,
-		"returned":                                           -7.487267,
-		"returned.":                                          -8.180414,
-		"returning":                                          -9.279027,
-		"returns":                                            -6.187984,
-		"ridiculous":                                         -9.279027,
-		"right":                                              -8.585879,
-		"rights":                                             -8.180414,
-		"risk":                                               -9.279027,
-		"robin":                                              -9.279027,
-		"round":                                              -9.279027,
-		"rsync":                                              -9.279027,
-		"rtsp":                                               -9.279027,
-		"rtsp.":                                              -9.279027,
-		"ru":                                                 -9.279027,
-		"run":                                                -8.585879,
-		"running":                                            -9.279027,
-		"s":                                                  -4.268391,
-		"safest":                                             -9.279027,
-		"same":                                               -6.881131,
-		"scalar":                                             -9.279027,
-		"sched.h":                                            -8.585879,
-		"sched_get_priority_max":                             -7.487267,
-		"sched_get_priority_min":                             -7.487267,
-		"sched_yield":                                        -7.487267,
-		"scheduling":                                         -8.180414,
-		"scheduling.":                                        -9.279027,
-		"scheme":                                             -5.911731,
-		"scheme.":                                            -8.585879,
-		"schemes":                                            -7.487267,
-		"schemes.":                                           -9.279027,
-		"screen":                                             -9.279027,
-		"script":                                             -9.279027,
-		"search":                                             -7.669589,
-		"searchs":                                            -9.279027,
-		"seconds":                                            -9.279027,
-		"seconds.":                                           -9.279027,
-		"section":                                            -9.279027,
-		"secure":                                             -9.279027,
-		"security":                                           -9.279027,
-		"see":                                                -8.180414,
-		"seem.":                                              -9.279027,
-		"segment":                                            -9.279027,
-		"segments":                                           -8.585879,
-		"selects":                                            -9.279027,
-		"self":                                               -9.279027,
-		"semantics.":                                         -9.279027,
-		"send":                                               -9.279027,
-		"sens":                                               -9.279027,
-		"sensdev":                                            -8.585879,
-		"sensor":                                             -6.388655,
-		"sensor_attach":                                      -7.892732,
-		"sensor_detach":                                      -8.180414,
-		"sensor_find":                                        -7.892732,
-		"sensor_task":                                        -9.279027,
-		"sensor_task_register":                               -8.180414,
-		"sensor_task_unregister":                             -7.892732,
-		"sensordev_deinstall":                                -8.180414,
-		"sensordev_get":                                      -8.180414,
-		"sensordev_install":                                  -7.669589,
-		"sensors":                                            -7.669589,
-		"sensors.":                                           -9.279027,
-		"sent":                                               -9.279027,
-		"sent.":                                              -9.279027,
-		"separate":                                           -8.585879,
-		"separated":                                          -7.669589,
-		"sequence":                                           -9.279027,
-		"sequence.":                                          -9.279027,
-		"sequences":                                          -9.279027,
-		"server":                                             -7.199585,
-		"servers":                                            -8.585879,
-		"serves":                                             -9.279027,
-		"set":                                                -6.187984,
-		"sets":                                               -8.180414,
-		"setting":                                            -8.585879,
-		"sf@sfritsch.de":                                     -9.279027,
-		"sftp":                                               -9.279027,
-		"shall":                                              -8.585879,
-		"shell.":                                             -9.279027,
-		"shit":                                               -9.279027,
-		"shit.":                                              -9.279027,
-		"should":                                             -7.669589,
-		"show":                                               -9.279027,
-		"sig":                                                -8.585879,
-		"sigaction":                                          -9.279027,
-		"signal":                                             -6.881131,
-		"signals":                                            -7.892732,
-		"significance.":                                      -9.279027,
-		"sigpending":                                         -9.279027,
-		"sigset_t":                                           -9.279027,
-		"sigsuspend":                                         -9.279027,
-		"sigwait":                                            -6.881131,
-		"simple":                                             -8.585879,
-		"simply":                                             -8.585879,
-		"sin":                                                -9.279027,
-		"single":                                             -7.487267,
-		"sinh":                                               -9.279027,
-		"sip":                                                -8.585879,
-		"situations":                                         -9.279027,
-		"size":                                               -8.585879,
-		"size_t":                                             -9.279027,
-		"sizes":                                              -9.279027,
-		"sleep":                                              -9.279027,
-		"slightly":                                           -9.279027,
-		"smaller":                                            -9.279027,
-		"so":                                                 -7.487267,
-		"socket":                                             -9.279027,
-		"socket_address":                                     -9.279027,
-		"software":                                           -7.892732,
-		"some":                                               -8.180414,
-		"somebody":                                           -8.585879,
-		"something":                                          -8.180414,
-		"soon.":                                              -9.279027,
-		"sort":                                               -9.279027,
-		"source":                                             -7.333116,
-		"space":                                              -8.180414,
-		"spare":                                              -9.279027,
-		"special":                                            -9.279027,
-		"specific":                                           -7.487267,
-		"specification":                                      -7.669589,
-		"specification.":                                     -7.892732,
-		"specified":                                          -6.388655,
-		"specified.":                                         -8.585879,
-		"specify":                                            -9.279027,
-		"specifying":                                         -8.585879,
-		"splbio":                                             -8.585879,
-		"ssh":                                                -9.279027,
-		"st":                                                 -9.279027,
-		"standard":                                           -8.180414,
-		"stapled":                                            -9.279027,
-		"startup":                                            -8.180414,
-		"state":                                              -8.585879,
-		"status":                                             -9.279027,
-		"std":                                                -8.585879,
-		"stderr":                                             -9.279027,
-		"stops":                                              -9.279027,
-		"stored":                                             -9.279027,
-		"stores":                                             -9.279027,
-		"str":                                                -8.585879,
-		"strftime":                                           -8.585879,
-		"string":                                             -7.081802,
-		"string.":                                            -8.585879,
-		"strings.":                                           -8.585879,
-		"struct":                                             -6.794120,
-		"stuff":                                              -7.892732,
-		"style":                                              -8.585879,
-		"style.":                                             -9.279027,
-		"styleguide":                                         -9.279027,
-		"stype":                                              -9.279027,
-		"sub":                                                -7.487267,
-		"subclass":                                           -9.279027,
-		"subclasses":                                         -9.279027,
-		"subjects":                                           -9.279027,
-		"subsequent":                                         -9.279027,
-		"substituted":                                        -9.279027,
-		"successful":                                         -8.180414,
-		"such":                                               -7.669589,
-		"suffix":                                             -8.180414,
-		"summarize":                                          -9.279027,
-		"support":                                            -6.445813,
-		"supported":                                          -8.585879,
-		"sure":                                               -9.279027,
-		"surrounded":                                         -9.279027,
-		"suspended":                                          -9.279027,
-		"swapped.":                                           -9.279027,
-		"symbol":                                             -9.279027,
-		"symbols":                                            -9.279027,
-		"symbols.":                                           -9.279027,
-		"syntax":                                             -7.199585,
-		"sys/sensors.h":                                      -9.279027,
-		"sysctl":                                             -8.180414,
-		"sysctl.conf.":                                       -9.279027,
-		"system":                                             -6.570976,
-		"t":                                                  -6.794120,
-		"tab":                                                -7.669589,
-		"tabs":                                               -8.585879,
-		"tabulation":                                         -9.279027,
-		"tag":                                                -7.199585,
-		"taken":                                              -8.585879,
-		"takes":                                              -8.585879,
-		"talk":                                               -9.279027,
-		"tan":                                                -7.333116,
-		"tanf":                                               -7.892732,
-		"tangent":                                            -8.180414,
-		"tanh":                                               -9.279027,
-		"tanl":                                               -7.892732,
-		"target":                                             -8.585879,
-		"task":                                               -7.669589,
-		"taught":                                             -9.279027,
-		"tbl":                                                -9.279027,
-		"template":                                           -9.279027,
-		"terminal":                                           -8.585879,
-		"terms":                                              -8.585879,
-		"test":                                               -9.279027,
-		"teststring":                                         -9.279027,
-		"text":                                               -7.669589,
-		"text.":                                              -9.279027,
-		"than":                                               -7.487267,
-		"that":                                               -5.271693,
-		"the":                                                -3.401291,
-		"their":                                              -7.487267,
-		"them":                                               -7.487267,
-		"them.":                                              -9.279027,
-		"then":                                               -6.881131,
-		"there":                                              -8.585879,
-		"therefore":                                          -8.585879,
-		"these":                                              -7.892732,
-		"they":                                               -6.976441,
-		"things":                                             -8.180414,
-		"think":                                              -8.585879,
-		"this":                                               -6.020930,
-		"this.":                                              -9.279027,
-		"those":                                              -8.585879,
-		"though":                                             -9.279027,
-		"thought":                                            -9.279027,
-		"thread":                                             -7.487267,
-		"threads":                                            -9.279027,
-		"through":                                            -8.180414,
-		"thus":                                               -9.279027,
-		"ties":                                               -9.279027,
-		"time":                                               -7.669589,
-		"timeout":                                            -9.279027,
-		"times":                                              -9.279027,
-		"tk@giga.or.at":                                      -9.279027,
-		"tls.h":                                              -9.279027,
-		"tls_config_add_keypair_file":                        -9.279027,
-		"tls_config_ocsp_require_stapling":                   -8.180414,
-		"tls_handshake":                                      -9.279027,
-		"tls_init":                                           -9.279027,
-		"tls_ocsp_process_response":                          -9.279027,
-		"tm":                                                 -9.279027,
-		"tmp_dir":                                            -9.279027,
-		"tn":                                                 -9.279027,
-		"to":                                                 -4.248589,
-		"together":                                           -9.279027,
-		"told":                                               -9.279027,
-		"tone":                                               -9.279027,
-		"too":                                                -8.585879,
-		"tool":                                               -9.279027,
-		"touch":                                              -9.279027,
-		"tracker":                                            -8.585879,
-		"trailing":                                           -9.279027,
-		"transfer.":                                          -9.279027,
-		"transform.":                                         -9.279027,
-		"translations":                                       -9.279027,
-		"transparent":                                        -9.279027,
-		"treated":                                            -9.279027,
-		"tree.":                                              -9.279027,
-		"tried":                                              -8.180414,
-		"tries":                                              -9.279027,
-		"trouble":                                            -9.279027,
-		"truncated":                                          -9.279027,
-		"turned":                                             -9.279027,
-		"twice.":                                             -9.279027,
-		"two":                                                -7.669589,
-		"type":                                               -8.180414,
-		"types":                                              -9.279027,
-		"typo":                                               -9.279027,
-		"u":                                                  -8.585879,
-		"uname":                                              -7.487267,
-		"unavoidably":                                        -9.279027,
-		"unchanged.":                                         -9.279027,
-		"undef":                                              -8.180414,
-		"undefined":                                          -8.180414,
-		"undefined.":                                         -9.279027,
-		"under":                                              -7.892732,
-		"unescaped":                                          -7.892732,
-		"unescaping":                                         -9.279027,
-		"unit.":                                              -9.279027,
-		"unless":                                             -9.279027,
-		"unspecified.":                                       -8.585879,
-		"unsupported":                                        -9.279027,
-		"until":                                              -9.279027,
-		"up":                                                 -7.487267,
-		"update":                                             -9.279027,
-		"updated":                                            -9.279027,
-		"updates":                                            -8.585879,
-		"updating":                                           -9.279027,
-		"upon":                                               -9.279027,
-		"upper":                                              -9.279027,
-		"uri":                                                -6.020930,
-		"url":                                                -8.585879,
-		"us":                                                 -9.279027,
-		"usage.":                                             -9.279027,
-		"use":                                                -6.388655,
-		"use.":                                               -8.585879,
-		"used":                                               -6.388655,
-		"used.":                                              -8.585879,
-		"useful":                                             -9.279027,
-		"user":                                               -7.669589,
-		"userinfo":                                           -7.333116,
-		"users":                                              -9.279027,
-		"uses":                                               -8.585879,
-		"using":                                              -7.199585,
-		"usually":                                            -9.279027,
-		"utf":                                                -9.279027,
-		"utility":                                            -7.487267,
-		"v":                                                  -7.892732,
-		"v_bioflag":                                          -9.279027,
-		"v_numoutput":                                        -9.279027,
-		"val":                                                -9.279027,
-		"valid":                                              -9.279027,
-		"value":                                              -6.234504,
-		"value.":                                             -9.279027,
-		"values":                                             -7.892732,
-		"values.":                                            -9.279027,
-		"var":                                                -9.279027,
-		"variable":                                           -7.487267,
-		"variable.":                                          -9.279027,
-		"variables":                                          -7.669589,
-		"variables.":                                         -9.279027,
-		"variety":                                            -9.279027,
-		"various":                                            -9.279027,
-		"ve":                                                 -8.585879,
-		"verbatim":                                           -8.585879,
-		"version":                                            -7.487267,
-		"version.":                                           -9.279027,
-		"vi":                                                 -9.279027,
-		"via":                                                -9.279027,
-		"video":                                              -9.279027,
-		"view":                                               -8.585879,
-		"vio":                                                -9.279027,
-		"vioif":                                              -9.279027,
-		"virtio":                                             -8.585879,
-		"virtually":                                          -9.279027,
-		"visit":                                              -9.279027,
-		"vnode":                                              -7.892732,
-		"void":                                               -7.333116,
-		"vwaitforio":                                         -9.279027,
-		"vwakeup":                                            -8.180414,
-		"w":                                                  -8.585879,
-		"wait":                                               -9.279027,
-		"waiting":                                            -9.279027,
-		"wakeup":                                             -8.585879,
-		"want":                                               -8.180414,
-		"warning.":                                           -9.279027,
-		"was":                                                -7.333116,
-		"way.":                                               -9.279027,
-		"wchar_t":                                            -8.585879,
-		"wcsftime":                                           -9.279027,
-		"web":                                                -9.279027,
-		"well":                                               -8.585879,
-		"well.":                                              -8.585879,
-		"were":                                               -8.585879,
-		"what":                                               -7.892732,
-		"when":                                               -7.669589,
-		"where":                                              -7.669589,
-		"whereas":                                            -9.279027,
-		"whether":                                            -8.585879,
-		"which":                                              -6.794120,
-		"while":                                              -9.279027,
-		"white":                                              -8.585879,
-		"whitespace":                                         -9.279027,
-		"who":                                                -8.585879,
-		"wide":                                               -8.585879,
-		"wide.":                                              -9.279027,
-		"width":                                              -7.333116,
-		"wiki":                                               -9.279027,
-		"will":                                               -6.506438,
-		"with":                                               -5.615465,
-		"with.":                                              -9.279027,
-		"within":                                             -9.279027,
-		"without":                                            -6.714077,
-		"won":                                                -8.585879,
-		"word":                                               -9.279027,
-		"words.":                                             -9.279027,
-		"work":                                               -8.180414,
-		"workaround":                                         -8.180414,
-		"working":                                            -8.585879,
-		"working.":                                           -9.279027,
-		"workstation":                                        -9.279027,
-		"would":                                              -8.180414,
-		"wrap":                                               -9.279027,
-		"wrappers":                                           -9.279027,
-		"write":                                              -8.585879,
-		"writes":                                             -9.279027,
-		"writing":                                            -9.279027,
-		"written":                                            -7.892732,
-		"wrong":                                              -9.279027,
-		"wrote":                                              -9.279027,
-		"wscons":                                             -7.892732,
-		"wsconscfg":                                          -9.279027,
-		"wsconsctl":                                          -7.199585,
-		"wsconsctl.":                                         -9.279027,
-		"wsconsctl.conf":                                     -8.585879,
-		"wsfontload":                                         -9.279027,
-		"wump":                                               -9.279027,
-		"wumpus":                                             -9.279027,
-		"x":                                                  -9.279027,
-		"xdvi":                                               -9.279027,
-		"yet":                                                -9.279027,
-		"yield":                                              -8.180414,
-		"you":                                                -6.283294,
-		"you.":                                               -9.279027,
-		"your":                                               -8.585879,
-		"zero.":                                              -9.279027,
-		"zforce":                                             -8.585879,
-		"zip":                                                -8.585879,
-		"zip_file_add":                                       -8.585879,
-		"zip_file_replace":                                   -9.279027,
-		"zip_flags_t":                                        -8.585879,
-		"zip_source":                                         -8.585879,
-		"zip_source_file":                                    -9.279027,
-		"zip_source_filep":                                   -9.279027,
-		"zip_source_function":                                -9.279027,
-		"zip_source_zip":                                     -9.279027,
-		"zip_strerror":                                       -9.279027,
-		"zip_uint":                                           -9.279027,
-		"{":                                                  -9.279027,
-		"|":                                                  -6.714077,
-		"||":                                                 -9.279027,
-		"}":                                                  -8.585879,
-		"~":                                                  -8.585879,
+		"\"":       -8.154069,
+		"#":        -8.847216,
+		"$":        -5.018575,
+		"%":        -8.847216,
+		"&":        -5.851484,
+		"'":        -8.847216,
+		"(":        -3.759620,
+		")":        -4.997069,
+		"*":        -4.704081,
+		"*archive": -8.154069,
+		"*name":    -8.847216,
+		"*set":     -8.847216,
+		"*sig":     -8.847216,
+		"*source":  -8.154069,
+		"+":        -7.748604,
+		",":        -3.495358,
+		"-":        -3.753466,
+		".":        -3.949376,
+		"..":       -8.154069,
+		"...":      -8.154069,
+		".An":      -6.901306,
+		".Ar":      -7.237778,
+		".B":       -7.055457,
+		".BI":      -8.847216,
+		".Bl":      -7.460922,
+		".Bx":      -8.847216,
+		".Cm":      -8.847216,
+		".DT":      -8.847216,
+		".Dd":      -7.237778,
+		".Dt":      -7.055457,
+		".Dv":      -6.767775,
+		".Ed":      -8.847216,
+		".El":      -7.460922,
+		".Ex":      -8.847216,
+		".Fa":      -5.756174,
+		".Fl":      -8.154069,
+		".Fn":      -4.769679,
+		".Ft":      -6.208159,
+		".I":       -5.669162,
+		".IP":      -4.465189,
+		".IX":      -4.976015,
+		".In":      -7.460922,
+		".It":      -6.282267,
+		".Nd":      -7.055457,
+		".Nm":      -5.669162,
+		".Op":      -8.154069,
+		".Os":      -7.055457,
+		".Ox":      -7.460922,
+		".PD":      -5.756174,
+		".PP":      -6.362309,
+		".Pp":      -6.074627,
+		".SH":      -5.711722,
+		".Sh":      -5.109546,
+		".Sp":      -6.074627,
+		".Sq":      -7.055457,
+		".St":      -7.460922,
+		".Sy":      -8.847216,
+		".TH":      -8.154069,
+		".TP":      -8.154069,
+		".UC":      -8.847216,
+		".Va":      -8.847216,
+		".Vb":      -8.847216,
+		".Ve":      -8.847216,
+		".Vt":      -8.154069,
+		".Xr":      -5.236298,
+		".br":      -8.154069,
+		".de":      -8.154069,
+		".ds":      -8.154069,
+		".el":      -5.479920,
+		".ft":      -8.847216,
+		".g":       -8.847216,
+		".gz":      -7.748604,
+		".ie":      -5.479920,
+		".ne":      -8.847216,
+		".nf":      -8.847216,
+		".sp":      -8.847216,
+		".ta":      -8.847216,
+		".taz":     -8.847216,
+		".tgz":     -8.847216,
+		"/":        -7.460922,
+		"//www.djangoproject.com/wiki/ProfilingDjango": -8.847216,
+		"//www.w":                        -8.847216,
+		"/foo/bar":                       -8.847216,
+		"/usr/new/lib/pgrep":             -8.847216,
+		":":                              -4.895972,
+		";":                              -6.362309,
+		"<":                              -7.055457,
+		"<assirati@fma.if.usp.br>":       -8.847216,
+		"<authority>":                    -8.847216,
+		"<beck@openbsd.org>":             -8.847216,
+		"<cnst+openbsd@bugmail.mojo.ru>": -8.847216,
+		"<d@openbsd.org>":                -8.847216,
+		"<fgsch@openbsd.org>":            -8.847216,
+		"<fragment>":                     -7.748604,
+		"<hash>":                         -8.847216,
+		"<http://rsync.samba.org/>":      -8.847216,
+		"<http://sdp.ppona.com/>":        -8.847216,
+		"<http://www.iana.org/assignments/uri\\-schemes>":    -8.847216,
+		"<http://www.iana.org/assignments/urn\\-namespaces>": -8.154069,
+		"<http://www.openssh.com/>":                          -8.847216,
+		"<libzip@nih.at>":                                    -8.847216,
+		"<lyx_pid>":                                          -8.847216,
+		"<mk@openbsd.org>":                                   -8.847216,
+		"<otto@drijf.net>":                                   -8.847216,
+		"<path>":                                             -7.748604,
+		"<query>":                                            -8.154069,
+		"<scheme>":                                           -8.154069,
+		"<scheme\\-specific\\-part>":                         -8.847216,
+		"=":                                                  -4.570550,
+		">":                                                  -5.589120,
+		"@":                                                  -8.847216,
+		"@LYX_DATE@":                                         -8.847216,
+		"@VERSION@":                                          -8.154069,
+		"A":                                                  -6.449321,
+		"A.":                                                 -8.154069,
+		"ACTION":                                             -7.237778,
+		"ADVISED":                                            -8.154069,
+		"ALL":                                                -7.748604,
+		"ALSO":                                               -6.767775,
+		"AND":                                                -6.449321,
+		"ANY":                                                -6.282267,
+		"API":                                                -8.847216,
+		"ARE":                                                -8.154069,
+		"ARISING":                                            -7.237778,
+		"AS":                                                 -7.237778,
+		"AUTHOR":                                             -8.154069,
+		"AUTHORS":                                            -7.237778,
+		"Aas.":                                               -8.154069,
+		"Access":                                             -8.847216,
+		"Additionally":                                       -8.847216,
+		"Alexander":                                          -8.847216,
+		"All":                                                -8.154069,
+		"Alternative":                                        -8.847216,
+		"Alternatively":                                      -8.847216,
+		"An":                                                 -7.237778,
+		"Any":                                                -8.847216,
+		"Aq":                                                 -6.767775,
+		"Arcadia":                                            -8.847216,
+		"Archive":                                            -8.847216,
+		"Assirati":                                           -8.847216,
+		"August":                                             -8.847216,
+		"BE":                                                 -8.847216,
+		"BSD":                                                -8.847216,
+		"BUSINESS":                                           -7.748604,
+		"BUT":                                                -7.748604,
+		"BY":                                                 -8.154069,
+		"Baron":                                              -8.154069,
+		"Beck":                                               -8.847216,
+		"Begin":                                              -8.847216,
+		"Behave":                                             -8.847216,
+		"Berkeley":                                           -8.847216,
+		"Berners":                                            -8.847216,
+		"Bob":                                                -8.847216,
+		"Book":                                               -8.847216,
+		"Bq":                                                 -7.055457,
+		"Brooks":                                             -8.847216,
+		"C":                                                  -4.955396,
+		"CAUSED":                                             -8.154069,
+		"CAVEATS":                                            -8.847216,
+		"CONNECTION":                                         -8.847216,
+		"CONSEQUENTIAL":                                      -7.237778,
+		"CONTRACT":                                           -7.460922,
+		"CONTRIBUTORS":                                       -8.154069,
+		"CW":                                                 -4.804165,
+		"California":                                         -8.847216,
+		"California.":                                        -8.847216,
+		"Care":                                               -8.847216,
+		"Code":                                               -8.847216,
+		"Common":                                             -8.847216,
+		"Constantine":                                        -8.154069,
+		"Constructs":                                         -8.847216,
+		"Contrast":                                           -8.847216,
+		"Copyright":                                          -7.237778,
+		"Cutter.":                                            -8.847216,
+		"DAMAGE.":                                            -8.154069,
+		"DAMAGES":                                            -6.767775,
+		"DAP":                                                -7.748604,
+		"DATA":                                               -7.748604,
+		"DESCRIPTION":                                        -6.901306,
+		"DIAGNOSTICS":                                        -8.847216,
+		"DIRECT":                                             -7.460922,
+		"DISCLAIMED.":                                        -8.154069,
+		"DISCLAIMS":                                          -8.847216,
+		"DP":                                                 -8.847216,
+		"David":                                              -8.847216,
+		"Default":                                            -8.847216,
+		"Dieter":                                             -8.154069,
+		"Directory":                                          -8.847216,
+		"Django":                                             -7.748604,
+		"Drivers":                                            -8.847216,
+		"Ds":                                                 -8.847216,
+		"EINVAL":                                             -8.154069,
+		"ENVIRONMENT":                                        -8.847216,
+		"ERRORS":                                             -7.748604,
+		"EVEN":                                               -8.154069,
+		"EVENT":                                              -7.748604,
+		"EXEMPLARY":                                          -7.748604,
+		"EXIT":                                               -8.847216,
+		"EXPRESS":                                            -7.748604,
+		"Egrep":                                              -8.154069,
+		"Embedding":                                          -8.847216,
+		"Er":                                                 -6.649992,
+		"Escape":                                             -8.847216,
+		"Example":                                            -8.847216,
+		"Execute":                                            -8.847216,
+		"Exit":                                               -8.847216,
+		"F":                                                  -8.154069,
+		"FILES":                                              -8.847216,
+		"FITNESS":                                            -7.748604,
+		"FITNESS.":                                           -8.847216,
+		"FOR":                                                -7.460922,
+		"FROM":                                               -8.847216,
+		"Fielding":                                           -8.154069,
+		"Files":                                              -8.847216,
+		"Fl":                                                 -6.649992,
+		"For":                                                -6.901306,
+		"GOODS":                                              -8.154069,
+		"Gisle":                                              -8.154069,
+		"Grep":                                               -7.748604,
+		"Gwynne":                                             -8.847216,
+		"HDRS":                                               -8.847216,
+		"HISTORY":                                            -7.748604,
+		"HOWEVER":                                            -8.154069,
+		"HTTP":                                               -8.847216,
+		"Header":                                             -6.901306,
+		"Heuristic":                                          -8.847216,
+		"I":                                                  -8.847216,
+		"IDNA":                                               -8.847216,
+		"IF":                                                 -7.748604,
+		"IMPLIED":                                            -7.237778,
+		"IN":                                                 -6.767775,
+		"INCIDENTAL":                                         -8.154069,
+		"INCLUDING":                                          -6.901306,
+		"INDIRECT":                                           -7.460922,
+		"INTERRUPTION":                                       -8.154069,
+		"IPv":                                                -7.748604,
+		"IS":                                                 -6.649992,
+		"ISBNs":                                              -8.847216,
+		"Identifier":                                         -8.154069,
+		"Identifiers":                                        -8.847216,
+		"If":                                                 -6.074627,
+		"Ignore":                                             -8.847216,
+		"In":                                                 -6.901306,
+		"Information":                                        -8.154069,
+		"International":                                      -8.847216,
+		"Internet":                                           -8.847216,
+		"Invalid":                                            -8.847216,
+		"Irvine":                                             -8.847216,
+		"It":                                                 -7.748604,
+		"Item":                                               -5.133644,
+		"Its":                                                -7.748604,
+		"J.":                                                 -8.847216,
+		"January":                                            -8.847216,
+		"Jo":                                                 -8.847216,
+		"June":                                               -8.154069,
+		"Kansas":                                             -8.847216,
+		"Klausner":                                           -8.154069,
+		"Koster.":                                            -8.847216,
+		"L":                                                  -6.449321,
+		"LFUNs.lyx.":                                         -8.847216,
+		"LIABILITY":                                          -7.460922,
+		"LIABLE":                                             -8.847216,
+		"LICENSE":                                            -8.847216,
+		"LIMITED":                                            -7.748604,
+		"LOSS":                                               -7.748604,
+		"LYXSOCKET":                                          -8.847216,
+		"Lawrence":                                           -8.847216,
+		"Lee":                                                -8.847216,
+		"Lightweight":                                        -8.847216,
+		"List":                                               -8.847216,
+		"Locator":                                            -8.847216,
+		"Luis":                                               -8.847216,
+		"LyX":                                                -8.847216,
+		"M.":                                                 -8.847216,
+		"MERCHANTABILITY":                                    -7.748604,
+		"Makefile":                                           -8.847216,
+		"Martijn":                                            -8.847216,
+		"Masinter":                                           -8.847216,
+		"May":                                                -8.847216,
+		"Mdocdate":                                           -7.237778,
+		"Methods":                                            -8.847216,
+		"Mt":                                                 -7.460922,
+		"Murenin":                                            -8.154069,
+		"NAME":                                               -6.901306,
+		"NEGLIGENCE":                                         -7.460922,
+		"NO":                                                 -7.748604,
+		"NOT":                                                -7.748604,
+		"NULL":                                               -7.460922,
+		"Name":                                               -8.847216,
+		"Names":                                              -8.847216,
+		"Neither":                                            -8.847216,
+		"Netscape":                                           -8.847216,
+		"New":                                                -8.847216,
+		"Nicklin":                                            -8.847216,
+		"Normally":                                           -8.847216,
+		"Note":                                               -7.748604,
+		"Numbers":                                            -8.847216,
+		"OCSP":                                               -8.154069,
+		"OF":                                                 -5.669162,
+		"OIDs":                                               -8.847216,
+		"ON":                                                 -8.154069,
+		"OPTIONS":                                            -8.847216,
+		"OR":                                                 -5.589120,
+		"OTHER":                                              -8.154069,
+		"OTHERWISE":                                          -8.154069,
+		"OUT":                                                -7.237778,
+		"Object":                                             -8.847216,
+		"Objects":                                            -8.847216,
+		"Obtain":                                             -8.847216,
+		"Of":                                                 -8.847216,
+		"On":                                                 -8.847216,
+		"Online":                                             -8.847216,
+		"Only":                                               -8.847216,
+		"Originally":                                         -8.847216,
+		"Otherwise":                                          -8.847216,
+		"P":                                                  -7.748604,
+		"PARTICULAR":                                         -7.748604,
+		"PGREP":                                              -8.847216,
+		"PID":                                                -8.847216,
+		"POD.":                                               -8.847216,
+		"POSSIBILITY":                                        -8.154069,
+		"PPID":                                               -8.154069,
+		"PROCUREMENT":                                        -8.847216,
+		"PROFITS":                                            -8.154069,
+		"PROVIDED":                                           -7.460922,
+		"PURPOSE":                                            -7.748604,
+		"Passing":                                            -8.154069,
+		"Path":                                               -8.847216,
+		"Performance":                                        -8.847216,
+		"Perl":                                               -8.847216,
+		"Peter":                                              -8.847216,
+		"Pgrep":                                              -7.748604,
+		"Precede":                                            -8.847216,
+		"Print":                                              -7.055457,
+		"Protocol.":                                          -8.847216,
+		"Python":                                             -8.847216,
+		"QueryParam":                                         -8.154069,
+		"REGARD":                                             -8.154069,
+		"REGENTS":                                            -8.154069,
+		"RESULTING":                                          -8.847216,
+		"RETURN":                                             -7.748604,
+		"RFC":                                                -6.282267,
+		"RI":                                                 -5.446019,
+		"RI.":                                                -8.154069,
+		"RL":                                                 -8.154069,
+		"RN":                                                 -8.154069,
+		"RTSP":                                               -8.847216,
+		"Redistribution":                                     -8.847216,
+		"Redistributions":                                    -7.460922,
+		"Refer":                                              -8.847216,
+		"Regents":                                            -8.847216,
+		"Required":                                           -8.847216,
+		"Resource":                                           -7.237778,
+		"Returns":                                            -7.237778,
+		"Roy":                                                -8.847216,
+		"SCHED_FIFO":                                         -8.847216,
+		"SCHED_GET_PRIORITY_MIN":                             -8.847216,
+		"SCHED_OTHER":                                        -8.847216,
+		"SCHED_RR":                                           -8.847216,
+		"SEE":                                                -6.767775,
+		"SENSOR_ATTACH":                                      -8.847216,
+		"SERVICES":                                           -8.154069,
+		"SHALL":                                              -7.748604,
+		"SOFTWARE":                                           -6.767775,
+		"SPECIAL":                                            -7.460922,
+		"SSL":                                                -8.154069,
+		"STANDARDS":                                          -7.460922,
+		"STATUS":                                             -8.847216,
+		"STRICT":                                             -8.154069,
+		"SUBSTITUTE":                                         -8.847216,
+		"SUCH":                                               -8.154069,
+		"SYNOPSIS":                                           -6.901306,
+		"Scheme":                                             -8.847216,
+		"See":                                                -7.460922,
+		"September":                                          -8.847216,
+		"Set":                                                -8.847216,
+		"Sets":                                               -6.544631,
+		"Sp":                                                 -8.847216,
+		"Specify":                                            -8.847216,
+		"Split":                                              -8.847216,
+		"Standard":                                           -8.847216,
+		"Such":                                               -8.847216,
+		"TAN":                                                -8.847216,
+		"TCP.":                                               -8.847216,
+		"TF":                                                 -7.460922,
+		"THE":                                                -6.362309,
+		"THEORY":                                             -8.154069,
+		"THIS":                                               -6.901306,
+		"TLS":                                                -8.154069,
+		"TLS_CONFIG_OCSP_REQUIRE_STAPLING":                   -8.847216,
+		"TO":                                                 -7.237778,
+		"TORT":                                               -8.154069,
+		"TORTIOUS":                                           -7.748604,
+		"TRUE":                                               -8.847216,
+		"The":                                                -4.786773,
+		"There":                                              -8.154069,
+		"These":                                              -8.847216,
+		"This":                                               -6.767775,
+		"Thomas":                                             -8.154069,
+		"Treat":                                              -8.847216,
+		"U":                                                  -8.847216,
+		"UNAME":                                              -8.847216,
+		"URI":                                                -5.628340,
+		"URL":                                                -8.154069,
+		"USA.":                                               -8.847216,
+		"USE":                                                -7.055457,
+		"Unicode":                                            -8.154069,
+		"Uniform":                                            -7.237778,
+		"University":                                         -7.748604,
+		"Unrecognized":                                       -8.847216,
+		"Upon":                                               -8.154069,
+		"Use":                                                -7.748604,
+		"Using":                                              -8.847216,
+		"VALUES":                                             -7.748604,
+		"Ve":                                                 -8.847216,
+		"Version":                                            -8.847216,
+		"WARRANTIES":                                         -6.901306,
+		"WAY":                                                -8.154069,
+		"WHATSOEVER":                                         -8.847216,
+		"WHETHER":                                            -8.847216,
+		"WITH":                                               -7.748604,
+		"Web":                                                -8.847216,
+		"When":                                               -8.154069,
+		"Which":                                              -8.847216,
+		"WithBase":                                           -8.847216,
+		"World":                                              -8.847216,
+		"X":                                                  -8.847216,
+		"Yurchenko":                                          -8.847216,
+		"ZFORCE":                                             -8.847216,
+		"ZIP":                                                -8.847216,
+		"ZIP_ER_EXISTS":                                      -8.847216,
+		"ZIP_ER_INVAL":                                       -8.847216,
+		"ZIP_ER_MEMORY":                                      -8.847216,
+		"ZIP_ER_RDONLY":                                      -8.847216,
+		"ZIP_FL_OVERWRITE":                                   -8.847216,
+		"[":                                                  -6.767775,
+		"\\":                                                 -2.519279,
+		"]":                                                  -6.901306,
+		"_gz":                                                -8.847216,
+		"_port":                                              -8.847216,
+		"_t":                                                 -8.847216,
+		"`":                                                  -5.320856,
+		"a":                                                  -4.477768,
+		"about":                                              -7.748604,
+		"above":                                              -7.055457,
+		"absolute":                                           -7.055457,
+		"abstract":                                           -8.847216,
+		"access":                                             -7.460922,
+		"accessible":                                         -8.847216,
+		"accessing":                                          -8.847216,
+		"accessor":                                           -7.460922,
+		"acos":                                               -8.847216,
+		"actions":                                            -8.847216,
+		"actually":                                           -8.847216,
+		"add":                                                -8.847216,
+		"added":                                              -8.847216,
+		"adding":                                             -8.847216,
+		"addition":                                           -6.901306,
+		"address":                                            -7.460922,
+		"addresses":                                          -8.154069,
+		"adds":                                               -8.847216,
+		"aggregates":                                         -8.847216,
+		"all":                                                -7.460922,
+		"allocated.":                                         -8.847216,
+		"almost":                                             -8.847216,
+		"already":                                            -8.154069,
+		"also":                                               -7.748604,
+		"alternative":                                        -8.847216,
+		"alternative.":                                       -8.847216,
+		"always":                                             -7.748604,
+		"amnprsv":                                            -8.847216,
+		"an":                                                 -5.551379,
+		"analysis":                                           -8.847216,
+		"and":                                                -4.440497,
+		"and/or":                                             -7.748604,
+		"anonymous":                                          -8.154069,
+		"ansiC":                                              -8.847216,
+		"any":                                                -7.748604,
+		"appeared":                                           -7.748604,
+		"applies":                                            -8.847216,
+		"appropriate":                                        -8.847216,
+		"aq":                                                 -8.847216,
+		"architecture":                                       -8.847216,
+		"archive":                                            -8.847216,
+		"archive.":                                           -8.847216,
+		"archives.":                                          -8.847216,
+		"are":                                                -5.183654,
+		"are.":                                               -8.847216,
+		"arg":                                                -8.847216,
+		"argue":                                              -8.847216,
+		"argument":                                           -6.362309,
+		"argument.":                                          -8.847216,
+		"arguments":                                          -7.237778,
+		"around":                                             -8.847216,
+		"array":                                              -7.748604,
+		"array.":                                             -8.847216,
+		"as":                                                 -5.320856,
+		"asin":                                               -8.847216,
+		"assumed":                                            -8.847216,
+		"at":                                                 -6.901306,
+		"atan":                                               -8.154069,
+		"atomically":                                         -8.847216,
+		"attached":                                           -8.847216,
+		"attribute":                                          -8.847216,
+		"auth":                                               -8.847216,
+		"author":                                             -8.847216,
+		"authority":                                          -8.154069,
+		"authors":                                            -8.847216,
+		"autoconf":                                           -8.154069,
+		"automatically":                                      -8.847216,
+		"available":                                          -6.544631,
+		"base_uri":                                           -8.154069,
+		"based":                                              -7.460922,
+		"be":                                                 -5.350709,
+		"beck@openbsd.org":                                   -8.847216,
+		"become":                                             -8.847216,
+		"becomes":                                            -8.847216,
+		"been":                                               -7.460922,
+		"before":                                             -8.847216,
+		"behaviour":                                          -8.847216,
+		"belonging":                                          -6.544631,
+		"belongs.":                                           -8.847216,
+		"below":                                              -8.847216,
+		"between":                                            -8.154069,
+		"binary":                                             -7.748604,
+		"blocked":                                            -8.847216,
+		"both.":                                              -8.847216,
+		"bracketing":                                         -8.847216,
+		"brackets":                                           -8.154069,
+		"brackets.":                                          -8.154069,
+		"bug":                                                -8.847216,
+		"but":                                                -6.767775,
+		"by":                                                 -5.446019,
+		"c":                                                  -8.154069,
+		"call":                                               -7.748604,
+		"called":                                             -7.748604,
+		"can":                                                -5.756174,
+		"case":                                               -7.748604,
+		"change":                                             -8.847216,
+		"changed.":                                           -8.847216,
+		"channel":                                            -8.847216,
+		"char":                                               -8.847216,
+		"character":                                          -7.748604,
+		"characteristics":                                    -8.847216,
+		"characters":                                         -8.154069,
+		"chars":                                              -8.847216,
+		"class":                                              -7.748604,
+		"class.":                                             -8.847216,
+		"classified":                                         -8.847216,
+		"clear":                                              -8.847216,
+		"clears":                                             -8.847216,
+		"cnst":                                               -8.847216,
+		"code":                                               -7.748604,
+		"colon":                                              -8.154069,
+		"colon.":                                             -8.847216,
+		"combination":                                        -8.847216,
+		"combining":                                          -8.847216,
+		"command":                                            -7.055457,
+		"commands":                                           -8.847216,
+		"common":                                             -6.362309,
+		"common/generic":                                     -8.847216,
+		"commonly":                                           -8.847216,
+		"communications":                                     -8.847216,
+		"compact":                                            -8.847216,
+		"comparisons":                                        -8.847216,
+		"compiled":                                           -8.847216,
+		"completion":                                         -8.154069,
+		"compliant":                                          -8.847216,
+		"component":                                          -6.649992,
+		"component.":                                         -7.748604,
+		"components":                                         -6.901306,
+		"components.":                                        -7.460922,
+		"compress":                                           -8.154069,
+		"compressed":                                         -8.847216,
+		"computes":                                           -8.847216,
+		"concept":                                            -8.847216,
+		"conditions":                                         -7.055457,
+		"configuration":                                      -8.847216,
+		"conform":                                            -8.847216,
+		"conforms":                                           -8.154069,
+		"connections.":                                       -8.847216,
+		"considered":                                         -8.154069,
+		"consists":                                           -8.847216,
+		"const":                                              -8.154069,
+		"constructed":                                        -8.847216,
+		"constructor":                                        -8.154069,
+		"constructs":                                         -8.847216,
+		"contain":                                            -8.847216,
+		"containing":                                         -7.748604,
+		"contains":                                           -7.748604,
+		"context":                                            -7.748604,
+		"context.":                                           -8.847216,
+		"contributions":                                      -8.847216,
+		"contributors":                                       -8.847216,
+		"contributors.":                                      -8.847216,
+		"copies.":                                            -8.847216,
+		"copyright":                                          -7.460922,
+		"corresponding":                                      -7.460922,
+		"cos":                                                -8.847216,
+		"cosh":                                               -8.847216,
+		"could":                                              -8.847216,
+		"course":                                             -8.847216,
+		"current":                                            -8.847216,
+		"data":                                               -8.154069,
+		"data.":                                              -8.847216,
+		"default":                                            -6.767775,
+		"default.":                                           -8.154069,
+		"defined":                                            -8.847216,
+		"definitions":                                        -8.847216,
+		"delimited":                                          -8.847216,
+		"denote":                                             -8.847216,
+		"denotes":                                            -7.748604,
+		"depend":                                             -8.847216,
+		"derived":                                            -8.154069,
+		"described":                                          -8.154069,
+		"describes":                                          -8.847216,
+		"description":                                        -8.847216,
+		"descriptions":                                       -8.847216,
+		"details.":                                           -8.154069,
+		"determines":                                         -8.847216,
+		"developed":                                          -7.748604,
+		"device":                                             -7.055457,
+		"devices":                                            -7.748604,
+		"devnum":                                             -8.154069,
+		"die":                                                -8.847216,
+		"different.":                                         -7.748604,
+		"digits":                                             -8.847216,
+		"dillo@nih.at":                                       -8.847216,
+		"directly":                                           -8.154069,
+		"directory.":                                         -8.847216,
+		"disclaimer":                                         -8.847216,
+		"disclaimer.":                                        -8.154069,
+		"distinction":                                        -8.847216,
+		"distribution":                                       -8.847216,
+		"distribution.":                                      -8.154069,
+		"divided":                                            -8.847216,
+		"dlg@openbsd.org":                                    -8.847216,
+		"documentation":                                      -8.154069,
+		"does":                                               -7.460922,
+		"dots.":                                              -8.847216,
+		"double":                                             -7.748604,
+		"draft":                                              -8.154069,
+		"driver":                                             -8.847216,
+		"du.":                                                -8.847216,
+		"during":                                             -7.748604,
+		"e":                                                  -8.847216,
+		"each":                                               -7.748604,
+		"effect":                                             -8.847216,
+		"egrep":                                              -7.748604,
+		"eilmnw":                                             -8.847216,
+		"either":                                             -7.460922,
+		"element":                                            -8.847216,
+		"elements":                                           -8.847216,
+		"empty":                                              -7.748604,
+		"en":                                                 -8.847216,
+		"enclose":                                            -8.847216,
+		"enclosed":                                           -8.154069,
+		"encoded":                                            -8.154069,
+		"encoded.":                                           -8.847216,
+		"encoding":                                           -8.847216,
+		"encrypted":                                          -8.847216,
+		"endorse":                                            -8.154069,
+		"ends":                                               -8.847216,
+		"ensures":                                            -8.847216,
+		"entire":                                             -8.847216,
+		"entity.":                                            -8.847216,
+		"entries":                                            -8.154069,
+		"errno":                                              -8.847216,
+		"error":                                              -7.748604,
+		"error.":                                             -8.847216,
+		"errors":                                             -8.847216,
+		"escaped":                                            -6.901306,
+		"escaping":                                           -8.847216,
+		"etc.":                                               -8.847216,
+		"even":                                               -8.154069,
+		"every":                                              -8.847216,
+		"ex":                                                 -8.847216,
+		"example":                                            -8.847216,
+		"exception":                                          -7.748604,
+		"executed":                                           -8.847216,
+		"existing":                                           -8.154069,
+		"exists.":                                            -8.154069,
+		"expand":                                             -8.847216,
+		"explicitly":                                         -8.847216,
+		"expression":                                         -8.847216,
+		"expressions":                                        -8.847216,
+		"expressions.":                                       -8.847216,
+		"extended":                                           -7.748604,
+		"extension":                                          -8.847216,
+		"f":                                                  -4.769679,
+		"fB":                                                 -6.362309,
+		"fBlyxclient":                                        -8.154069,
+		"fBuri_split":                                        -8.847216,
+		"fIauthority":                                        -8.847216,
+		"fIex":                                               -8.154069,
+		"fIfile":                                             -8.847216,
+		"fIftp":                                              -8.847216,
+		"fIgopher":                                           -8.847216,
+		"fIhttp":                                             -8.847216,
+		"fIhttps":                                            -8.847216,
+		"fIldap":                                             -8.847216,
+		"fInews":                                             -8.154069,
+		"fInntp":                                             -8.847216,
+		"fInot":                                              -8.847216,
+		"fIpath":                                             -8.847216,
+		"fIpath_segment":                                     -8.847216,
+		"fIrlogin":                                           -8.847216,
+		"fIrtspu":                                            -8.847216,
+		"fIsip":                                              -8.154069,
+		"fIsnews":                                            -8.847216,
+		"fItelnet":                                           -8.847216,
+		"fR":                                                 -4.369879,
+		"fR.":                                                -7.748604,
+		"fRcommand":                                          -8.847216,
+		"fRmakefile":                                         -8.847216,
+		"fRoption":                                           -8.847216,
+		"fRpatfile":                                          -8.847216,
+		"fail":                                               -8.154069,
+		"fee":                                                -7.748604,
+		"file":                                               -6.208159,
+		"file.":                                              -8.847216,
+		"files":                                              -6.449321,
+		"files.":                                             -8.847216,
+		"first":                                              -7.237778,
+		"flag":                                               -7.460922,
+		"flags":                                              -8.154069,
+		"float":                                              -8.847216,
+		"following":                                          -6.362309,
+		"follows":                                            -8.847216,
+		"for":                                                -5.479920,
+		"force":                                              -8.847216,
+		"form":                                               -7.748604,
+		"form.":                                              -8.847216,
+		"format.":                                            -8.847216,
+		"forms":                                              -8.847216,
+		"found":                                              -7.237778,
+		"fragment":                                           -8.847216,
+		"framework":                                          -7.237778,
+		"framework.":                                         -8.847216,
+		"free":                                               -8.847216,
+		"from":                                               -5.956844,
+		"ftp":                                                -8.847216,
+		"full":                                               -8.154069,
+		"func":                                               -8.154069,
+		"function":                                           -6.767775,
+		"function.":                                          -8.847216,
+		"functions":                                          -6.901306,
+		"further":                                            -7.460922,
+		"further.":                                           -8.847216,
+		"gather_profile_stats.py":                            -8.154069,
+		"gcc":                                                -8.847216,
+		"generate":                                           -8.847216,
+		"generated":                                          -8.847216,
+		"generic":                                            -6.449321,
+		"get":                                                -7.748604,
+		"gilman":                                             -8.847216,
+		"give":                                               -7.748604,
+		"given":                                              -7.748604,
+		"gopher":                                             -8.847216,
+		"grange@openbsd.org":                                 -8.847216,
+		"granted":                                            -7.748604,
+		"greater":                                            -8.847216,
+		"grep":                                               -8.154069,
+		"grep.":                                              -8.847216,
+		"gz":                                                 -8.847216,
+		"gzip":                                               -7.237778,
+		"h":                                                  -8.847216,
+		"had":                                                -8.847216,
+		"handshake.":                                         -8.847216,
+		"hardware":                                           -8.154069,
+		"has":                                                -8.154069,
+		"have":                                               -6.901306,
+		"headers.":                                           -8.847216,
+		"hereby":                                             -7.748604,
+		"hierarchical":                                       -8.847216,
+		"hopefully":                                          -8.154069,
+		"host":                                               -6.901306,
+		"host.":                                              -8.847216,
+		"host_port":                                          -8.847216,
+		"hostname":                                           -8.847216,
+		"hostname.":                                          -8.847216,
+		"http":                                               -7.460922,
+		"hw.sensors":                                         -8.847216,
+		"i":                                                  -8.154069,
+		"i.e.":                                               -7.748604,
+		"identical":                                          -8.847216,
+		"identifier":                                         -8.847216,
+		"identifies":                                         -8.847216,
+		"if":                                                 -6.014003,
+		"ignored.":                                           -8.847216,
+		"implementation":                                     -8.847216,
+		"implementations.":                                   -8.847216,
+		"implemented.":                                       -8.847216,
+		"implies":                                            -8.847216,
+		"in":                                                 -4.895972,
+		"inaccessible":                                       -8.847216,
+		"include":                                            -8.847216,
+		"includes":                                           -8.847216,
+		"index":                                              -7.748604,
+		"indicate":                                           -8.154069,
+		"indicates":                                          -8.847216,
+		"individual":                                         -8.847216,
+		"information":                                        -8.847216,
+		"instances":                                          -8.847216,
+		"instead":                                            -7.460922,
+		"instead.":                                           -8.847216,
+		"int":                                                -7.748604,
+		"integer":                                            -8.847216,
+		"interface.":                                         -8.847216,
+		"internal":                                           -8.847216,
+		"interpreting":                                       -8.847216,
+		"interval":                                           -8.847216,
+		"into":                                               -8.154069,
+		"introducing":                                        -8.847216,
+		"invalid":                                            -8.847216,
+		"invalid.":                                           -8.847216,
+		"invention":                                          -8.847216,
+		"is":                                                 -4.314617,
+		"is_utf":                                             -8.847216,
+		"isn":                                                -8.154069,
+		"it":                                                 -5.902777,
+		"it.":                                                -8.847216,
+		"its":                                                -7.460922,
+		"itself":                                             -8.847216,
+		"itself.":                                            -8.847216,
+		"keywords":                                           -7.748604,
+		"keywords.":                                          -8.847216,
+		"known":                                              -8.847216,
+		"ksensor":                                            -8.154069,
+		"ksensordev":                                         -8.154069,
+		"l":                                                  -8.847216,
+		"labels":                                             -8.847216,
+		"labels.":                                            -8.847216,
+		"large":                                              -8.847216,
+		"later":                                              -8.847216,
+		"ldap":                                               -8.847216,
+		"leading":                                            -8.847216,
+		"leaves":                                             -8.847216,
+		"left":                                               -8.847216,
+		"less":                                               -8.847216,
+		"letters":                                            -8.847216,
+		"library":                                            -8.847216,
+		"libtls":                                             -8.847216,
+		"libwww":                                             -8.847216,
+		"libzip":                                             -8.154069,
+		"license":                                            -8.847216,
+		"license.":                                           -8.847216,
+		"like":                                               -8.154069,
+		"limited":                                            -8.847216,
+		"limits":                                             -8.847216,
+		"line":                                               -7.460922,
+		"line.":                                              -8.847216,
+		"lines":                                              -8.847216,
+		"lines.":                                             -8.847216,
+		"linked":                                             -8.847216,
+		"list":                                               -7.237778,
+		"little":                                             -8.847216,
+		"ll":                                                 -8.847216,
+		"loaded":                                             -8.847216,
+		"location":                                           -8.154069,
+		"logs":                                               -8.847216,
+		"long":                                               -8.847216,
+		"longer":                                             -8.847216,
+		"lower":                                              -8.847216,
+		"lyx@version_suffix@":                                -8.847216,
+		"lyxclient":                                          -8.847216,
+		"lyxclient.":                                         -8.154069,
+		"m":                                                  -7.460922,
+		"machine":                                            -7.748604,
+		"macro":                                              -8.847216,
+		"magnitude":                                          -8.847216,
+		"mailto":                                             -7.748604,
+		"make":                                               -7.748604,
+		"makefile":                                           -7.055457,
+		"makefile.":                                          -7.748604,
+		"makefiles":                                          -8.847216,
+		"making":                                             -8.847216,
+		"man":                                                -8.154069,
+		"manipulate":                                         -8.154069,
+		"manipulation":                                       -8.847216,
+		"maps":                                               -8.847216,
+		"marked":                                             -8.847216,
+		"matches":                                            -8.154069,
+		"matching":                                           -7.748604,
+		"materials":                                          -8.154069,
+		"math.h":                                             -8.847216,
+		"matter":                                             -8.847216,
+		"maximum":                                            -8.154069,
+		"may":                                                -7.460922,
+		"meaningful":                                         -8.847216,
+		"measured":                                           -8.847216,
+		"mechanism":                                          -8.847216,
+		"media_type":                                         -8.847216,
+		"memory":                                             -8.847216,
+		"met":                                                -8.847216,
+		"method":                                             -7.460922,
+		"methods":                                            -5.851484,
+		"methods.":                                           -6.901306,
+		"might":                                              -8.847216,
+		"minimum":                                            -8.154069,
+		"mkmf":                                               -8.847216,
+		"mms":                                                -8.847216,
+		"mnrsv":                                              -8.847216,
+		"mode.":                                              -8.847216,
+		"modification":                                       -7.748604,
+		"modify":                                             -8.847216,
+		"module":                                             -7.748604,
+		"more":                                               -7.237778,
+		"multiple":                                           -8.847216,
+		"murali":                                             -8.847216,
+		"must":                                               -6.767775,
+		"n":                                                  -5.413229,
+		"name":                                               -6.649992,
+		"name.":                                              -7.460922,
+		"names":                                              -6.649992,
+		"namespace":                                          -8.154069,
+		"namespaces.":                                        -8.847216,
+		"needs":                                              -8.154069,
+		"network":                                            -8.847216,
+		"never":                                              -8.847216,
+		"new":                                                -6.901306,
+		"new_host":                                           -8.847216,
+		"news":                                               -8.154069,
+		"no":                                                 -6.649992,
+		"nodename":                                           -8.154069,
+		"none":                                               -8.847216,
+		"nor":                                                -8.847216,
+		"normalising":                                        -8.847216,
+		"nosplit":                                            -8.847216,
+		"not":                                                -5.956844,
+		"notice":                                             -7.748604,
+		"nroff":                                              -8.847216,
+		"number":                                             -6.449321,
+		"number.":                                            -7.748604,
+		"numt":                                               -8.847216,
+		"o":                                                  -8.847216,
+		"object":                                             -7.460922,
+		"object.":                                            -8.847216,
+		"objects":                                            -6.449321,
+		"objects.":                                           -8.847216,
+		"obtained":                                           -8.847216,
+		"of":                                                 -4.543151,
+		"old":                                                -7.055457,
+		"on":                                                 -7.237778,
+		"one":                                                -6.767775,
+		"one.":                                               -8.847216,
+		"only":                                               -7.748604,
+		"only.":                                              -7.748604,
+		"openbsd@bugmail.mojo.ru":                            -8.847216,
+		"opened":                                             -8.847216,
+		"operating":                                          -7.237778,
+		"operation":                                          -8.847216,
+		"operator.":                                          -8.847216,
+		"option":                                             -7.055457,
+		"option.":                                            -8.154069,
+		"optional":                                           -8.847216,
+		"options":                                            -7.748604,
+		"or":                                                 -5.413229,
+		"order.":                                             -8.154069,
+		"ordinal":                                            -7.748604,
+		"org/Addressing/":                                    -8.847216,
+		"other":                                              -8.154069,
+		"otherwise":                                          -8.847216,
+		"out":                                                -8.847216,
+		"output.":                                            -8.154069,
+		"over":                                               -8.847216,
+		"overloading":                                        -8.847216,
+		"overridden":                                         -8.847216,
+		"override":                                           -8.847216,
+		"overwrites":                                         -8.847216,
+		"p":                                                  -6.767775,
+		"page":                                               -8.847216,
+		"pairs":                                              -8.847216,
+		"parameter":                                          -8.154069,
+		"parameters":                                         -8.154069,
+		"parameters.":                                        -8.847216,
+		"params.":                                            -8.847216,
+		"params_form":                                        -8.847216,
+		"part":                                               -6.767775,
+		"parts":                                              -8.154069,
+		"pass":                                               -8.847216,
+		"passed":                                             -7.748604,
+		"passed.":                                            -8.847216,
+		"passing":                                            -7.748604,
+		"password":                                           -8.847216,
+		"password.":                                          -8.154069,
+		"passwords":                                          -8.847216,
+		"patfile.":                                           -8.847216,
+		"path":                                               -6.767775,
+		"path.":                                              -7.748604,
+		"paths":                                              -8.847216,
+		"pattern":                                            -7.237778,
+		"pattern.":                                           -7.460922,
+		"patterns":                                           -8.154069,
+		"pause":                                              -8.847216,
+		"pending":                                            -7.237778,
+		"pending.":                                           -8.847216,
+		"per":                                                -8.847216,
+		"percent":                                            -7.748604,
+		"perform":                                            -8.847216,
+		"period":                                             -8.847216,
+		"periodic":                                           -8.847216,
+		"periodically.":                                      -8.847216,
+		"perl":                                               -7.748604,
+		"perl.":                                              -8.847216,
+		"permission.":                                        -7.748604,
+		"permitted":                                          -7.748604,
+		"pgrep":                                              -7.460922,
+		"physical":                                           -8.847216,
+		"pid":                                                -8.847216,
+		"pid.":                                               -8.847216,
+		"point":                                              -8.847216,
+		"pointer":                                            -7.748604,
+		"policy":                                             -7.460922,
+		"pop":                                                -8.847216,
+		"port":                                               -6.362309,
+		"port.":                                              -7.748604,
+		"possible":                                           -8.154069,
+		"practice":                                           -8.847216,
+		"precision":                                          -8.154069,
+		"predefined":                                         -8.847216,
+		"present":                                            -8.154069,
+		"preserved":                                          -8.847216,
+		"previously":                                         -8.154069,
+		"principal":                                          -8.847216,
+		"print":                                              -8.154069,
+		"printed":                                            -8.154069,
+		"prints":                                             -8.847216,
+		"prior":                                              -7.748604,
+		"priority":                                           -7.748604,
+		"process":                                            -7.748604,
+		"processed":                                          -8.847216,
+		"processor":                                          -8.847216,
+		"products":                                           -8.847216,
+		"profiling":                                          -8.847216,
+		"program":                                            -8.847216,
+		"program.":                                           -8.847216,
+		"project":                                            -8.847216,
+		"promote":                                            -8.154069,
+		"proper":                                             -8.847216,
+		"proven":                                             -8.847216,
+		"provide":                                            -7.460922,
+		"provided":                                           -6.449321,
+		"provides":                                           -7.748604,
+		"pthread":                                            -8.847216,
+		"pthread_sigmask":                                    -8.847216,
+		"pthreads":                                           -8.847216,
+		"purpose":                                            -7.748604,
+		"python":                                             -8.847216,
+		"query":                                              -6.544631,
+		"queue.":                                             -8.847216,
+		"quite":                                              -8.847216,
+		"quote":                                              -8.847216,
+		"quote.":                                             -8.847216,
+		"quotes.":                                            -8.847216,
+		"r":                                                  -8.847216,
+		"radians":                                            -8.847216,
+		"range":                                              -8.847216,
+		"raw":                                                -8.154069,
+		"read":                                               -8.847216,
+		"readable":                                           -8.847216,
+		"received":                                           -8.847216,
+		"recommended.":                                       -8.847216,
+		"redistribute":                                       -8.847216,
+		"refer":                                              -8.847216,
+		"reference":                                          -7.237778,
+		"reference.":                                         -8.847216,
+		"referenced":                                         -8.154069,
+		"references":                                         -8.154069,
+		"regexp":                                             -8.847216,
+		"register":                                           -8.154069,
+		"registered":                                         -8.154069,
+		"registers":                                          -8.847216,
+		"regular":                                            -7.748604,
+		"related":                                            -7.460922,
+		"relative":                                           -7.055457,
+		"release.":                                           -8.847216,
+		"remaining":                                          -8.847216,
+		"remove":                                             -7.748604,
+		"remove.":                                            -8.847216,
+		"removed":                                            -8.154069,
+		"removes":                                            -7.748604,
+		"renames":                                            -8.847216,
+		"represent":                                          -8.847216,
+		"representation":                                     -8.847216,
+		"representing":                                       -8.847216,
+		"reproduce":                                          -8.154069,
+		"requires":                                           -8.847216,
+		"reserved.":                                          -8.847216,
+		"resource":                                           -8.154069,
+		"resource.":                                          -8.847216,
+		"respectively":                                       -8.847216,
+		"respectively.":                                      -8.847216,
+		"response":                                           -8.847216,
+		"responsible":                                        -8.847216,
+		"result":                                             -8.847216,
+		"retain":                                             -8.154069,
+		"retrieve":                                           -8.847216,
+		"retrieving":                                         -8.847216,
+		"return":                                             -6.767775,
+		"returned":                                           -7.237778,
+		"returned.":                                          -7.748604,
+		"returning":                                          -8.847216,
+		"returns":                                            -5.756174,
+		"right":                                              -8.154069,
+		"rights":                                             -8.847216,
+		"risk":                                               -8.847216,
+		"robin":                                              -8.847216,
+		"round":                                              -8.847216,
+		"rsync":                                              -8.847216,
+		"rtsp":                                               -8.847216,
+		"rtsp.":                                              -8.847216,
+		"run":                                                -8.847216,
+		"running":                                            -8.847216,
+		"s":                                                  -3.927235,
+		"safest":                                             -8.847216,
+		"same":                                               -6.649992,
+		"scalar":                                             -8.847216,
+		"sched.h":                                            -8.847216,
+		"sched_get_priority_max":                             -7.055457,
+		"sched_get_priority_min":                             -7.055457,
+		"scheduling":                                         -8.154069,
+		"scheduling.":                                        -8.847216,
+		"scheme":                                             -5.479920,
+		"scheme.":                                            -8.154069,
+		"schemes":                                            -7.055457,
+		"schemes.":                                           -8.847216,
+		"script":                                             -8.847216,
+		"search":                                             -7.460922,
+		"searchs":                                            -8.847216,
+		"seconds.":                                           -8.847216,
+		"secure":                                             -8.847216,
+		"security":                                           -8.847216,
+		"see":                                                -8.154069,
+		"segment":                                            -8.847216,
+		"segments":                                           -8.154069,
+		"selects":                                            -8.847216,
+		"semantics.":                                         -8.847216,
+		"sens":                                               -8.847216,
+		"sensdev":                                            -8.154069,
+		"sensor":                                             -5.956844,
+		"sensor_attach":                                      -7.460922,
+		"sensor_detach":                                      -7.748604,
+		"sensor_find":                                        -7.460922,
+		"sensor_task":                                        -8.847216,
+		"sensor_task_register":                               -7.748604,
+		"sensor_task_unregister":                             -7.460922,
+		"sensordev_deinstall":                                -7.748604,
+		"sensordev_get":                                      -7.748604,
+		"sensordev_install":                                  -7.237778,
+		"sensors":                                            -7.237778,
+		"sensors.":                                           -8.847216,
+		"separate":                                           -8.154069,
+		"separated":                                          -7.237778,
+		"sequences":                                          -8.847216,
+		"server":                                             -6.767775,
+		"servers":                                            -8.154069,
+		"set":                                                -6.449321,
+		"sets":                                               -8.154069,
+		"setting":                                            -8.154069,
+		"sftp":                                               -8.847216,
+		"shall":                                              -8.154069,
+		"shell.":                                             -8.847216,
+		"should":                                             -7.748604,
+		"sig":                                                -8.154069,
+		"sigaction":                                          -8.847216,
+		"signal":                                             -6.449321,
+		"signals":                                            -7.460922,
+		"significance.":                                      -8.847216,
+		"sigpending":                                         -8.847216,
+		"sigset_t":                                           -8.847216,
+		"sigsuspend":                                         -8.847216,
+		"sigwait":                                            -6.449321,
+		"simple":                                             -8.154069,
+		"simply":                                             -8.154069,
+		"sin":                                                -8.847216,
+		"single":                                             -7.055457,
+		"sinh":                                               -8.847216,
+		"sip":                                                -8.154069,
+		"sleep":                                              -8.847216,
+		"so":                                                 -7.748604,
+		"socket":                                             -8.847216,
+		"socket_address":                                     -8.847216,
+		"software":                                           -7.748604,
+		"some":                                               -7.748604,
+		"soon.":                                              -8.847216,
+		"source":                                             -7.460922,
+		"space":                                              -8.847216,
+		"special":                                            -8.847216,
+		"specific":                                           -7.055457,
+		"specification":                                      -7.237778,
+		"specification.":                                     -7.460922,
+		"specified":                                          -6.208159,
+		"specified.":                                         -8.154069,
+		"specify":                                            -8.847216,
+		"specifying":                                         -8.154069,
+		"ssh":                                                -8.847216,
+		"st":                                                 -8.847216,
+		"standard":                                           -8.154069,
+		"stapled":                                            -8.847216,
+		"state":                                              -8.847216,
+		"status":                                             -8.847216,
+		"std":                                                -8.847216,
+		"stderr":                                             -8.847216,
+		"stores":                                             -8.847216,
+		"str":                                                -8.154069,
+		"string":                                             -6.649992,
+		"string.":                                            -8.154069,
+		"strings.":                                           -8.154069,
+		"struct":                                             -6.544631,
+		"style":                                              -8.847216,
+		"stype":                                              -8.847216,
+		"sub":                                                -7.055457,
+		"subclass":                                           -8.847216,
+		"subclasses":                                         -8.847216,
+		"subsequent":                                         -8.847216,
+		"substituted":                                        -8.847216,
+		"successful":                                         -7.748604,
+		"such":                                               -7.237778,
+		"suffix":                                             -7.748604,
+		"summarize":                                          -8.847216,
+		"support":                                            -6.208159,
+		"surrounded":                                         -8.847216,
+		"suspended":                                          -8.847216,
+		"symbols":                                            -8.847216,
+		"syntax":                                             -6.767775,
+		"sys/sensors.h":                                      -8.847216,
+		"sysctl":                                             -7.748604,
+		"system":                                             -6.767775,
+		"t":                                                  -8.847216,
+		"tag":                                                -7.460922,
+		"taken":                                              -8.154069,
+		"takes":                                              -8.154069,
+		"talk":                                               -8.847216,
+		"tan":                                                -6.901306,
+		"tanf":                                               -7.460922,
+		"tangent":                                            -7.748604,
+		"tanh":                                               -8.847216,
+		"tanl":                                               -7.460922,
+		"task":                                               -7.460922,
+		"tbl":                                                -8.847216,
+		"terms":                                              -8.847216,
+		"test":                                               -8.847216,
+		"teststring":                                         -8.847216,
+		"text":                                               -7.748604,
+		"than":                                               -7.460922,
+		"that":                                               -5.236298,
+		"the":                                                -3.329763,
+		"their":                                              -8.154069,
+		"them":                                               -8.847216,
+		"them.":                                              -8.847216,
+		"then":                                               -6.767775,
+		"there":                                              -8.154069,
+		"therefore":                                          -8.154069,
+		"these":                                              -7.748604,
+		"they":                                               -7.055457,
+		"things":                                             -8.847216,
+		"this":                                               -6.014003,
+		"this.":                                              -8.847216,
+		"though":                                             -8.847216,
+		"thread":                                             -7.237778,
+		"threads":                                            -8.847216,
+		"through":                                            -8.154069,
+		"thus":                                               -8.847216,
+		"time":                                               -8.154069,
+		"tk@giga.or.at":                                      -8.847216,
+		"tls.h":                                              -8.847216,
+		"tls_config_add_keypair_file":                        -8.847216,
+		"tls_config_ocsp_require_stapling":                   -7.748604,
+		"tls_handshake":                                      -8.847216,
+		"tls_init":                                           -8.847216,
+		"tls_ocsp_process_response":                          -8.847216,
+		"tmp_dir":                                            -8.847216,
+		"tn":                                                 -8.847216,
+		"to":                                                 -4.358580,
+		"together":                                           -8.847216,
+		"told":                                               -8.847216,
+		"too":                                                -8.154069,
+		"tool":                                               -8.847216,
+		"trailing":                                           -8.847216,
+		"transfer.":                                          -8.847216,
+		"transform.":                                         -8.847216,
+		"translations":                                       -8.847216,
+		"treated":                                            -8.847216,
+		"tree.":                                              -8.847216,
+		"tried":                                              -8.154069,
+		"truncated":                                          -8.847216,
+		"turned":                                             -8.847216,
+		"twice.":                                             -8.847216,
+		"two":                                                -7.460922,
+		"type":                                               -8.154069,
+		"u":                                                  -8.154069,
+		"uname":                                              -7.055457,
+		"unchanged.":                                         -8.847216,
+		"undef":                                              -7.748604,
+		"undefined":                                          -7.748604,
+		"undefined.":                                         -8.847216,
+		"under":                                              -8.154069,
+		"unescaped":                                          -7.460922,
+		"unescaping":                                         -8.847216,
+		"unit.":                                              -8.847216,
+		"unspecified.":                                       -8.154069,
+		"unsupported":                                        -8.847216,
+		"until":                                              -8.847216,
+		"up":                                                 -8.154069,
+		"update":                                             -8.847216,
+		"updated":                                            -8.847216,
+		"updates":                                            -8.847216,
+		"updating":                                           -8.847216,
+		"upon":                                               -8.847216,
+		"upper":                                              -8.847216,
+		"uri":                                                -5.589120,
+		"url":                                                -8.154069,
+		"usage.":                                             -8.847216,
+		"use":                                                -6.901306,
+		"use.":                                               -8.847216,
+		"used":                                               -6.544631,
+		"used.":                                              -8.154069,
+		"useful":                                             -8.847216,
+		"user":                                               -7.460922,
+		"userinfo":                                           -6.901306,
+		"uses":                                               -8.154069,
+		"using":                                              -7.237778,
+		"usually":                                            -8.847216,
+		"utf":                                                -8.847216,
+		"utility":                                            -7.460922,
+		"v":                                                  -7.748604,
+		"valid":                                              -8.847216,
+		"value":                                              -6.449321,
+		"value.":                                             -8.847216,
+		"values":                                             -7.460922,
+		"variable.":                                          -8.847216,
+		"variables":                                          -8.847216,
+		"verbatim":                                           -8.847216,
+		"version":                                            -7.460922,
+		"version.":                                           -8.847216,
+		"vi":                                                 -8.847216,
+		"via":                                                -8.847216,
+		"view":                                               -8.847216,
+		"void":                                               -7.055457,
+		"w":                                                  -8.154069,
+		"wait":                                               -8.847216,
+		"waiting":                                            -8.847216,
+		"want":                                               -8.154069,
+		"warning.":                                           -8.847216,
+		"was":                                                -7.748604,
+		"well":                                               -8.154069,
+		"well.":                                              -8.847216,
+		"were":                                               -8.154069,
+		"when":                                               -7.460922,
+		"where":                                              -7.748604,
+		"whereas":                                            -8.847216,
+		"whether":                                            -8.154069,
+		"which":                                              -7.237778,
+		"white":                                              -8.847216,
+		"width":                                              -7.460922,
+		"wiki":                                               -8.847216,
+		"will":                                               -6.649992,
+		"with":                                               -5.669162,
+		"without":                                            -6.901306,
+		"won":                                                -8.847216,
+		"word":                                               -8.847216,
+		"words.":                                             -8.847216,
+		"work":                                               -8.847216,
+		"workaround":                                         -8.847216,
+		"would":                                              -8.847216,
+		"wrappers":                                           -8.847216,
+		"writes":                                             -8.847216,
+		"written":                                            -7.460922,
+		"x":                                                  -8.847216,
+		"xdvi":                                               -8.847216,
+		"yet":                                                -8.847216,
+		"yield":                                              -8.847216,
+		"you":                                                -7.237778,
+		"zero.":                                              -8.847216,
+		"zforce":                                             -8.154069,
+		"zip":                                                -8.154069,
+		"zip_file_add":                                       -8.154069,
+		"zip_file_replace":                                   -8.847216,
+		"zip_flags_t":                                        -8.154069,
+		"zip_source":                                         -8.154069,
+		"zip_source_file":                                    -8.847216,
+		"zip_source_filep":                                   -8.847216,
+		"zip_source_function":                                -8.847216,
+		"zip_source_zip":                                     -8.847216,
+		"zip_strerror":                                       -8.847216,
+		"zip_uint":                                           -8.847216,
+		"|":                                                  -7.460922,
+		"||":                                                 -8.847216,
+		"}":                                                  -8.847216,
+		"~":                                                  -8.847216,
 	},
 	"Ruby": map[string]float64{
-		"!":    -5.392954,
-		"#":    -5.798419,
-		"####": -7.995644,
-		"###############################################################################": -7.995644,
-		"#c":                          -8.688791,
-		"#cgi_server.serve":           -8.688791,
-		"#exit":                       -8.688791,
-		"#remove":                     -8.688791,
-		"$":                           -5.916202,
-		"%":                           -7.079353,
-		"&":                           -5.855577,
-		"&&":                          -6.609349,
-		"(":                           -3.139715,
-		")":                           -3.143613,
-		"*added_methods":              -8.688791,
-		"*args":                       -6.386206,
-		"*extensions":                 -7.302496,
-		"*methods":                    -8.688791,
-		"+":                           -5.025229,
-		",":                           -2.166698,
-		"-":                           -4.384726,
-		".":                           -8.688791,
-		"..":                          -8.688791,
-		"./":                          -8.688791,
-		".basename":                   -8.688791,
-		".deep_merge":                 -8.688791,
-		".destroy":                    -8.688791,
-		".disable":                    -8.688791,
-		".each":                       -7.995644,
-		".flatten":                    -8.688791,
-		".flatten.each":               -8.688791,
-		".flatten.uniq":               -8.688791,
-		".gsub":                       -7.995644,
-		".include":                    -7.995644,
-		".join":                       -8.688791,
-		".map":                        -7.302496,
-		".pop":                        -8.688791,
-		".rb":                         -8.688791,
-		".read":                       -7.590178,
-		".run":                        -8.688791,
-		".should":                     -7.590178,
-		".size":                       -8.688791,
-		".slice":                      -8.688791,
-		".sort":                       -8.688791,
-		".sort_by":                    -8.688791,
-		".split":                      -8.688791,
-		".to_i":                       -7.995644,
-		".to_s":                       -7.590178,
-		".to_s.split":                 -8.688791,
-		".to_sym":                     -8.688791,
-		".unshift":                    -7.995644,
-		".void":                       -8.688791,
-		"/":                           -5.980741,
-		"/.*":                         -8.688791,
-		"//":                          -8.688791,
-		"//rubygems.org/":             -8.688791,
-		"/@name":                      -8.688791,
-		"/Library/Taps/":              -8.688791,
-		"/h":                          -8.688791,
-		"/not":                        -8.688791,
-		"/redis":                      -8.688791,
-		"/usr/bin/env":                -6.742881,
-		"0":                           -8.688791,
-		"404":                         -8.688791,
-		"8":                           -8.688791,
-		":":                           -2.748620,
-		";":                           -4.860149,
-		"<":                           -6.742881,
-		"<#{@instance.class}>":        -8.688791,
-		"<(data)>":                    -8.688791,
-		"<-EOF>":                      -8.688791,
-		"<-HTML).gsub(/^>":            -8.688791,
-		"</body>":                     -8.688791,
-		"</div>":                      -8.688791,
-		"</head>":                     -8.688791,
-		"</html>":                     -8.688791,
-		"</pre>":                      -8.688791,
-		"</style>":                    -8.688791,
-		"</tt>":                       -8.688791,
-		"<<":                          -6.203884,
-		"<body>":                      -8.688791,
-		"<david.calavera@gmail.com>":  -8.688791,
-		"<div>":                       -8.688791,
-		"<head>":                      -8.688791,
-		"<html>":                      -8.688791,
-		"<img>":                       -8.688791,
-		"<internal:/,>":               -8.688791,
-		"<pre>":                       -8.688791,
-		"<source>":                    -8.688791,
-		"<style>":                     -8.688791,
-		"<tt>":                        -8.688791,
-		"=":                           -3.012037,
-		">":                           -4.083621,
-		"?":                           -4.371303,
-		"@after_fork":                 -7.995644,
-		"@before_first_fork":          -7.995644,
-		"@before_fork":                -7.995644,
-		"@bottle_sha":                 -8.688791,
-		"@bottle_url":                 -8.688791,
-		"@cc_failures":                -7.995644,
-		"@coder":                      -8.688791,
-		"@courts":                     -8.688791,
-		"@dependencies":               -8.688791,
-		"@downloader":                 -8.688791,
-		"@env":                        -7.995644,
-		"@handler":                    -8.688791,
-		"@handler.end_array":          -8.688791,
-		"@handler.end_object":         -8.688791,
-		"@handler.scalar":             -7.995644,
-		"@handler.start_array":        -8.688791,
-		"@handler.start_object":       -8.688791,
-		"@head":                       -7.995644,
-		"@instance":                   -7.995644,
-		"@instance.settings":          -8.688791,
-		"@keg_only_reason":            -8.688791,
-		"@mirrors.uniq":               -8.688791,
-		"@name":                       -7.590178,
-		"@path":                       -8.688791,
-		"@queues":                     -7.995644,
-		"@queues.delete":              -8.688791,
-		"@redis":                      -6.897031,
-		"@skip_clean_all":             -7.995644,
-		"@skip_clean_paths":           -7.590178,
-		"@skip_clean_paths.include":   -8.688791,
-		"@spec_to_use":                -7.302496,
-		"@spec_to_use.detect_version": -8.688791,
-		"@spec_to_use.specs":          -8.688791,
-		"@spec_to_use.url":            -8.688791,
-		"@specs":                      -8.688791,
-		"@stack":                      -8.688791,
-		"@stack.call":                 -8.688791,
-		"@standard":                   -7.995644,
-		"@standard.nil":               -8.688791,
-		"@tokenizer":                  -8.688791,
-		"@tokenizer.next_token":       -8.688791,
-		"@unstable":                   -8.688791,
-		"@uri":                        -7.079353,
-		"@url":                        -7.590178,
-		"@url.nil":                    -8.688791,
-		"@user":                       -8.688791,
-		"@version":                    -7.079353,
-		"ARGV":                        -7.302496,
-		"ARGV.build_head":             -8.688791,
-		"ARGV.formulae.include":       -8.688791,
-		"ARGV.named.empty":            -8.688791,
-		"AUTO_INDENT":                 -8.688791,
-		"ActiveSupport":               -8.688791,
-		"Allows":                      -8.688791,
-		"Any":                         -7.995644,
-		"Any.serialize":               -7.302496,
-		"Any.unserialize":             -7.590178,
-		"Application":                 -7.995644,
-		"Archive":                     -8.688791,
-		"ArgumentError":               -8.688791,
-		"Array":                       -7.995644,
-		"Base":                        -8.688791,
-		"Bundler.require":             -8.688791,
-		"CGIServer.new":               -8.688791,
-		"CHECKSUM_TYPES":              -8.688791,
-		"CHECKSUM_TYPES.detect":       -8.688791,
-		"CHECKSUM_TYPES.each":         -8.688791,
-		"CHECKSUM_TYPES=":             -8.688791,
-		"CLI.new":                     -8.688791,
-		"Cache":                       -8.688791,
-		"Cannot":                      -8.688791,
-		"Cast_mdata_server_t.create_dir_ifneeded": -8.688791,
-		"Cast_mdata_server_t.del_cast_mdata":      -8.688791,
-		"Cast_mdata_server_t.get_cast_mdata":      -8.688791,
-		"Cast_mdata_server_t.set_cast_mdata_pull": -8.688791,
-		"Cast_mdata_server_t.set_cast_mdata_push": -8.688791,
-		"Class":                                 -8.688791,
-		"Compiler":                              -8.688791,
-		"Compiler.new":                          -8.688791,
-		"CompilerFailure.new":                   -7.995644,
-		"CompilerFailures.new":                  -8.688791,
-		"Control":                               -8.688791,
-		"CurlDownloadStrategyError":             -8.688791,
-		"DCMAKE_BUILD_TYPE":                     -8.688791,
-		"DCMAKE_FIND_FRAMEWORK":                 -8.688791,
-		"DCMAKE_INSTALL_PREFIX":                 -8.688791,
-		"DEFAULTS":                              -7.995644,
-		"DEFAULTS.deep_merge":                   -8.688791,
-		"DEPENDENCIES":                          -8.688791,
-		"DOCTYPE":                               -8.688791,
-		"DSL":                                   -8.688791,
-		"Delegator":                             -8.688791,
-		"Delegator.delegate":                    -8.688791,
-		"Delegator.target.helpers":              -8.688791,
-		"Delegator.target.send":                 -8.688791,
-		"Delegator.target.use":                  -8.688791,
-		"DependencyCollector.new":               -8.688791,
-		"Digest.const_get":                      -8.688791,
-		"Dir":                                   -7.590178,
-		"Dir.pwd":                               -7.590178,
-		"Dir.pwd.split":                         -7.995644,
-		"Downloading":                           -8.688791,
-		"ENV":                                   -6.203884,
-		"EOF":                                   -8.688791,
-		"Environment.run":                       -8.688791,
-		"EventMachine":                          -8.688791,
-		"Exception":                             -8.688791,
-		"Expected":                              -8.688791,
-		"Experimental":                          -8.688791,
-		"ExtendedCommands":                      -8.688791,
-		"FALSE":                                 -8.688791,
-		"FCGI.each_request":                     -8.688791,
-		"FCGI_PURE_RUBY":                        -8.688791,
-		"FaultException.new":                    -8.688791,
-		"File.basename":                         -8.688791,
-		"File.dirname":                          -6.742881,
-		"File.exist":                            -7.995644,
-		"File.expand_path":                      -7.302496,
-		"File.join":                             -6.609349,
-		"File.write":                            -8.688791,
-		"FileUtils":                             -8.688791,
-		"FileUtils.rm":                          -8.688791,
-		"Foo":                                   -8.688791,
-		"For":                                   -8.688791,
-		"Formula":                               -7.590178,
-		"Formula.canonical_name":                -8.688791,
-		"Formula.expand_deps":                   -8.688791,
-		"Formula.factory":                       -7.995644,
-		"Formula.path":                          -8.688791,
-		"FormulaUnavailableError.new":           -8.688791,
-		"GEM":                                   -8.688791,
-		"GemLoader":                             -8.688791,
-		"Got":                                   -8.688791,
-		"Grit":                                  -8.688791,
-		"HOMEBREW_CACHE.mkpath":                 -8.688791,
-		"HOMEBREW_CACHE_FORMULA":                -8.688791,
-		"HOMEBREW_CACHE_FORMULA.mkpath":         -8.688791,
-		"HOMEBREW_CELLAR":                       -7.995644,
-		"HOMEBREW_PREFIX":                       -8.688791,
-		"HOMEBREW_REPOSITORY":                   -7.995644,
-		"HOMEBREW_REPOSITORY/":                  -8.688791,
-		"HTML":                                  -8.688791,
-		"HTTP":                                  -8.688791,
-		"Handler.new":                           -8.688791,
-		"Hash":                                  -7.590178,
-		"Hash.new":                              -8.688791,
-		"Helpers":                               -8.688791,
-		"IRB.conf":                              -8.688791,
-		"Ilib":                                  -8.688791,
-		"Interrupt":                             -7.995644,
-		"Invalid":                               -8.688791,
-		"JSON.parse":                            -7.995644,
-		"Jekyll":                                -7.590178,
-		"Jenkins":                               -8.688791,
-		"KegOnlyReason.new":                     -8.688791,
-		"LAST":                                  -8.688791,
-		"LOAD_PATH":                             -8.688791,
-		"Literal":                               -7.995644,
-		"Literal.new":                           -7.995644,
-		"LoadError":                             -7.590178,
-		"MacOS":                                 -7.995644,
-		"MultiJsonCoder.new":                    -8.688791,
-		"NULL":                                  -8.688791,
-		"NUMBER":                                -8.688791,
-		"NameError":                             -7.995644,
-		"Namespace":                             -8.688791,
-		"Namespace.new":                         -7.995644,
-		"Neoip":                                 -7.079353,
-		"None":                                  -8.688791,
-		"NotFound":                              -8.688791,
-		"NotImplementedError":                   -8.688791,
-		"Object":                                -8.688791,
-		"Object.const_get":                      -8.688791,
-		"PATH":                                  -8.688791,
-		"PLATFORMS":                             -8.688791,
-		"Parser":                                -7.590178,
-		"Parser.new":                            -8.688791,
-		"Patches":                               -8.688791,
-		"Patching":                              -8.688791,
-		"Pathname":                              -7.995644,
-		"Pathname.new":                          -7.590178,
-		"Plugin":                                -8.688791,
-		"Private":                               -8.688791,
-		"Proc.new":                              -6.290896,
-		"Pry":                                   -8.688791,
-		"Pry.config.color":                      -8.688791,
-		"Pry.config.commands.alias_command":     -8.688791,
-		"Pry.config.commands.command":           -8.688791,
-		"Pry.config.commands.import":            -8.688791,
-		"Pry.config.history.should_save":        -8.688791,
-		"Pry.config.pager":                      -8.688791,
-		"Pry.config.prompt":                     -8.688791,
-		"Pry.plugins":                           -8.688791,
-		"Q":                                     -8.688791,
-		"Queue.new":                             -8.688791,
-		"RDF":                                   -6.897031,
-		"RJSON":                                 -8.688791,
-		"RUBY_ENGINE":                           -7.995644,
-		"Racc":                                  -8.688791,
-		"Racc_arg":                              -8.688791,
-		"Racc_debug_parser":                     -8.688791,
-		"Racc_token_to_s_table":                 -8.688791,
-		"Rack":                                  -8.688791,
-		"Rainbows":                              -8.688791,
-		"Redis":                                 -7.590178,
-		"Redis.connect":                         -8.688791,
-		"Redis.new":                             -8.688791,
-		"Redis.respond_to":                      -8.688791,
-		"Request":                               -7.995644,
-		"Resque":                                -7.995644,
-		"Rexpl":                                 -8.688791,
-		"RuntimeError":                          -8.688791,
-		"SHEBANG#!jruby":                        -8.688791,
-		"SHEBANG#!macruby":                      -8.688791,
-		"SHEBANG#!rake":                         -8.688791,
-		"SHEBANG#!rbx":                          -8.688791,
-		"SHEBANG#!ruby":                         -7.995644,
-		"STRING":                                -8.688791,
-		"SecureRandom.hex":                      -8.688791,
-		"Set":                                   -8.688791,
-		"Shoes":                                 -8.688791,
-		"Sinatra":                               -7.995644,
-		"SoftwareSpecification":                 -7.995644,
-		"SoftwareSpecification.new":             -8.688791,
-		"Sorbet":                                -8.688791,
-		"Specification.new":                     -8.688791,
-		"Specify":                               -8.688791,
-		"Spira":                                 -6.609349,
-		"Stat":                                  -7.995644,
-		"Stream":                                -7.590178,
-		"String":                                -7.590178,
-		"SystemCallError":                       -8.688791,
-		"TRUE":                                  -8.688791,
-		"The":                                   -7.590178,
-		"Thin":                                  -8.688791,
-		"This":                                  -8.688791,
-		"ThreadError":                           -8.688791,
-		"To":                                    -8.688791,
-		"Try":                                   -8.688791,
-		"TypeError":                             -7.995644,
-		"Types":                                 -6.609349,
-		"URI":                                   -7.302496,
-		"URI.const_defined":                     -8.688791,
-		"URI.escape":                            -8.688791,
-		"VERSION":                               -8.688791,
-		"W":                                     -8.688791,
-		"Wno":                                   -8.688791,
-		"Wrapper":                               -8.688791,
-		"XMLRPC":                                -7.995644,
-		"XSD.integer":                           -8.688791,
-		"YAML.load_file":                        -8.688791,
-		"You":                                   -8.688791,
-		"Z":                                     -8.688791,
-		"Z/":                                    -8.688791,
-		"[":                                     -4.246140,
-		"\\":                                    -5.553297,
-		"]":                                     -4.246140,
-		"^":                                     -7.590178,
-		"_.":                                    -8.688791,
-		"__FILE__":                              -6.742881,
-		"__sinatra__":                           -8.688791,
-		"_reduce_":                              -5.693059,
-		"_reduce_none":                          -5.855577,
-		"_values":                               -6.290896,
-		"a":                                     -7.079353,
-		"above":                                 -8.688791,
-		"absolute_redirects":                    -8.688791,
-		"acc":                                   -7.995644,
-		"accept":                                -8.688791,
-		"accept_entry":                          -8.688791,
-		"add_charset":                           -8.688791,
-		"add_git_tag":                           -8.688791,
-		"added_methods":                         -8.688791,
-		"after":                                 -7.590178,
-		"after_all":                             -8.688791,
-		"after_fork":                            -7.995644,
-		"alias":                                 -7.995644,
-		"alias_method":                          -7.995644,
-		"align":                                 -7.995644,
-		"all":                                   -7.995644,
-		"along":                                 -8.688791,
-		"alpha":                                 -8.688791,
-		"an":                                    -8.688791,
-		"analyze":                               -8.688791,
-		"ancestor":                              -7.590178,
-		"ancestor.const_defined":                -8.688791,
-		"and":                                   -6.897031,
-		"app":                                   -7.302496,
-		"app_file":                              -7.302496,
-		"app_file=":                             -8.688791,
-		"app_icon":                              -8.688791,
-		"app_id":                                -7.995644,
-		"app_id.to_s":                           -8.688791,
-		"app_identifier":                        -8.688791,
-		"app_review_information":                -8.688791,
-		"apply_inflections":                     -8.688791,
-		"appraise":                              -7.995644,
-		"apps":                                  -7.995644,
-		"are":                                   -8.688791,
-		"args":                                  -7.995644,
-		"args.length":                           -8.688791,
-		"arial":                                 -8.688791,
-		"async":                                 -8.688791,
-		"attr":                                  -7.302496,
-		"attr_accessor":                         -7.995644,
-		"attr_reader":                           -6.897031,
-		"attr_rw":                               -7.079353,
-		"attr_writer":                           -7.302496,
-		"attributes":                            -7.995644,
-		"attrs":                                 -7.995644,
-		"auto":                                  -8.688791,
-		"automatic_release":                     -8.688791,
-		"b":                                     -7.590178,
-		"be":                                    -7.302496,
-		"be_a":                                  -7.995644,
-		"been":                                  -7.995644,
-		"before":                                -7.995644,
-		"before_all":                            -8.688791,
-		"before_first_fork":                     -7.995644,
-		"before_fork":                           -7.995644,
-		"begin":                                 -6.491566,
-		"below":                                 -8.688791,
-		"beta":                                  -7.590178,
-		"bin":                                   -7.302496,
-		"bind":                                  -8.688791,
-		"block":                                 -5.254804,
-		"block_given":                           -7.302496,
-		"body":                                  -7.590178,
-		"bottle":                                -8.688791,
-		"bottle_base_url":                       -8.688791,
-		"bottle_block":                          -7.590178,
-		"bottle_filename":                       -8.688791,
-		"bottle_sha":                            -8.688791,
-		"bottle_sha1":                           -7.995644,
-		"bottle_url":                            -7.590178,
-		"bottle_version":                        -7.590178,
-		"break":                                 -7.590178,
-		"brew":                                  -6.491566,
-		"build_devel":                           -7.995644,
-		"build_head":                            -8.688791,
-		"buildpath":                             -7.590178,
-		"bunzip2":                               -8.688791,
-		"bzip2":                                 -8.688791,
-		"cache_control":                         -8.688791,
-		"caches":                                -8.688791,
-		"call":                                  -7.995644,
-		"callback":                              -7.590178,
-		"callbacks":                             -8.688791,
-		"called":                                -8.688791,
-		"camel_cased_word":                      -7.302496,
-		"camel_cased_word.split":                -8.688791,
-		"camel_cased_word.to_s":                 -8.688791,
-		"camelcase":                             -8.688791,
-		"camelize":                              -8.688791,
-		"cannot":                                -8.688791,
-		"case":                                  -7.302496,
-		"cask":                                  -8.688791,
-		"cast_id":                               -7.995644,
-		"cast_mdata":                            -7.995644,
-		"cast_name":                             -6.609349,
-		"cast_privhash":                         -7.995644,
-		"cast_privtext":                         -6.897031,
-		"cat":                                   -8.688791,
-		"cc":                                    -7.590178,
-		"cc.build":                              -8.688791,
-		"cc.is_a":                               -8.688791,
-		"cc.name":                               -8.688791,
-		"cc_failures":                           -8.688791,
-		"center":                                -8.688791,
-		"cgi_server":                            -8.688791,
-		"cgi_server.add_handler":                -7.302496,
-		"cgi_server.serve":                      -8.688791,
-		"cgi_server.set_default_handler":        -8.688791,
-		"changelog":                             -8.688791,
-		"changes":                               -8.688791,
-		"char":                                  -7.302496,
-		"characters":                            -8.688791,
-		"charlock_holmes":                       -7.995644,
-		"checksum":                              -8.688791,
-		"checksum_type":                         -7.995644,
-		"child":                                 -8.688791,
-		"class":                                 -6.386206,
-		"class_eval":                            -8.688791,
-		"class_name":                            -7.995644,
-		"class_value":                           -7.590178,
-		"classify":                              -8.688791,
-		"clean":                                 -8.688791,
-		"clear":                                 -8.688791,
-		"client":                                -8.688791,
-		"close":                                 -7.995644,
-		"closed":                                -8.688791,
-		"coder":                                 -7.590178,
-		"coderay":                               -7.995644,
-		"color":                                 -8.688791,
-		"commit_version_bump":                   -8.688791,
-		"compiler":                              -7.590178,
-		"compressed_filename":                   -7.995644,
-		"compression":                           -8.688791,
-		"config":                                -7.590178,
-		"config.is_a":                           -8.688791,
-		"config_file":                           -7.995644,
-		"configuration":                         -7.590178,
-		"configure":                             -7.995644,
-		"connect":                               -8.688791,
-		"const":                                 -7.590178,
-		"const_regexp":                          -7.590178,
-		"constant":                              -7.302496,
-		"constant.ancestors.inject":             -8.688791,
-		"constant.const_get":                    -8.688791,
-		"constantize":                           -8.688791,
-		"content_type":                          -7.590178,
-		"context":                               -8.688791,
-		"count":                                 -7.079353,
-		"court":                                 -7.590178,
-		"court_url":                             -8.688791,
-		"created_at":                            -8.688791,
-		"curl":                                  -8.688791,
-		"current":                               -7.995644,
-		"dasherize":                             -8.688791,
-		"data":                                  -7.079353,
-		"datatype":                              -8.688791,
-		"db":                                    -7.590178,
-		"declared_trivial":                      -7.995644,
-		"decode":                                -7.995644,
-		"deconstantize":                         -8.688791,
-		"def":                                   -3.836761,
-		"default":                               -7.995644,
-		"default_encoding":                      -8.688791,
-		"default_platform":                      -8.688791,
-		"define":                                -8.688791,
-		"define_method":                         -8.688791,
-		"defined":                               -8.688791,
-		"delegate":                              -8.688791,
-		"delete":                                -8.688791,
-		"deliver":                               -7.590178,
-		"demo_password":                         -8.688791,
-		"demo_user":                             -8.688791,
-		"demodulize":                            -8.688791,
-		"dep":                                   -7.590178,
-		"dep.to_s":                              -8.688791,
-		"dependencies":                          -8.688791,
-		"dependencies.add":                      -8.688791,
-		"depends_on":                            -8.688791,
-		"deployment_target":                     -8.688791,
-		"deps":                                  -8.688791,
-		"dequeue":                               -8.688791,
-		"desc":                                  -7.079353,
-		"describe":                              -8.688791,
-		"description":                           -8.688791,
-		"destination":                           -8.688791,
-		"dev":                                   -8.688791,
-		"devel":                                 -8.688791,
-		"development":                           -6.897031,
-		"devices":                               -8.688791,
-		"did":                                   -8.688791,
-		"directives":                            -7.995644,
-		"disable":                               -8.688791,
-		"ditty.":                                -8.688791,
-		"do":                                    -4.681458,
-		"do_parse":                              -8.688791,
-		"doc":                                   -8.688791,
-		"doesn":                                 -8.688791,
-		"don":                                   -8.688791,
-		"download":                              -7.590178,
-		"download_strategy.new":                 -7.995644,
-		"downloader":                            -6.897031,
-		"downloader.fetch":                      -8.688791,
-		"dump_errors":                           -8.688791,
-		"dup":                                   -8.688791,
-		"e":                                     -6.609349,
-		"e.message":                             -7.995644,
-		"e.name.to_s":                           -8.688791,
-		"each":                                  -7.590178,
-		"else":                                  -5.644268,
-		"elsif":                                 -6.742881,
-		"email":                                 -7.995644,
-		"empty":                                 -7.995644,
-		"empty_path_info":                       -8.688791,
-		"enable":                                -8.688791,
-		"enc":                                   -7.079353,
-		"encoded":                               -8.688791,
-		"end":                                   -3.187533,
-		"enqueue_to":                            -8.688791,
-		"ensure_git_status_clean":               -8.688791,
-		"entries":                               -8.688791,
-		"entries.map":                           -8.688791,
-		"env":                                   -7.590178,
-		"environment":                           -7.995644,
-		"err":                                   -8.688791,
-		"err.to_s":                              -8.688791,
-		"errback":                               -8.688791,
-		"error":                                 -7.079353,
-		"errors":                                -8.688791,
-		"escape_utils":                          -7.995644,
-		"etc":                                   -8.688791,
-		"even":                                  -8.688791,
-		"evented":                               -8.688791,
-		"exception":                             -8.688791,
-		"exclusive":                             -8.688791,
-		"executed":                              -8.688791,
-		"exit":                                  -8.688791,
-		"expand_deps":                           -8.688791,
-		"explanation":                           -8.688791,
-		"explanation.to_s.chomp":                -8.688791,
-		"extend":                                -8.688791,
-		"extends":                               -8.688791,
-		"extensions.map":                        -8.688791,
-		"external_deps":                         -8.688791,
-		"external_patches":                      -8.688791,
-		"f":                                     -6.290896,
-		"f.deps.map":                            -8.688791,
-		"f_dep":                                 -7.590178,
-		"fail":                                  -8.688791,
-		"failed":                                -7.995644,
-		"fails_with":                            -7.995644,
-		"failure":                               -8.688791,
-		"failure.build":                         -8.688791,
-		"failure.build.zero":                    -8.688791,
-		"failure.compiler":                      -8.688791,
-		"false":                                 -5.254804,
-		"family":                                -8.688791,
-		"fastlane_version":                      -8.688791,
-		"fetch":                                 -8.688791,
-		"fetched":                               -7.079353,
-		"file":                                  -7.995644,
-		"filename":                              -7.995644,
-		"first":                                 -8.688791,
-		"first_name":                            -8.688791,
-		"fn":                                    -7.995644,
-		"fn.incremental_hash":                   -8.688791,
-		"folder":                                -8.688791,
-		"font":                                  -7.995644,
-		"for":                                   -7.995644,
-		"force":                                 -7.995644,
-		"format":                                -8.688791,
-		"formula":                               -7.995644,
-		"formula_with_that_name.file":           -8.688791,
-		"formula_with_that_name.readable":       -8.688791,
-		"framework_version":                     -7.590178,
-		"freeze":                                -8.688791,
-		"freshness":                             -8.688791,
-		"from":                                  -8.688791,
-		"from_name":                             -7.995644,
-		"from_path":                             -8.688791,
-		"from_url":                              -8.688791,
-		"front":                                 -8.688791,
-		"ftp":                                   -8.688791,
-		"gem":                                   -7.302496,
-		"generated":                             -8.688791,
-		"get":                                   -7.995644,
-		"get_version_short_string":              -8.688791,
-		"git.modified_files.include":            -8.688791,
-		"git_commit_log":                        -8.688791,
-		"github":                                -7.302496,
-		"github.pr_body":                        -8.688791,
-		"github.pr_title":                       -8.688791,
-		"glob":                                  -7.995644,
-		"grammars":                              -7.995644,
-		"gunzip":                                -8.688791,
-		"gzip":                                  -8.688791,
-		"h":                                     -7.590178,
-		"handler":                               -7.302496,
-		"has":                                   -8.688791,
-		"has_app_changes":                       -8.688791,
-		"hash":                                  -7.302496,
-		"hasher":                                -7.995644,
-		"have":                                  -8.688791,
-		"head":                                  -7.079353,
-		"head_prefix":                           -7.995644,
-		"head_prefix.directory":                 -8.688791,
-		"header":                                -8.688791,
-		"helpers":                               -7.590178,
-		"helvetica":                             -8.688791,
-		"hockey":                                -7.590178,
-		"homepage":                              -7.995644,
-		"host":                                  -7.590178,
-		"html":                                  -8.688791,
-		"http":                                  -8.688791,
-		"https":                                 -7.995644,
-		"i":                                     -7.995644,
-		"id":                                    -8.688791,
-		"id=":                                   -8.688791,
-		"if":                                    -4.545656,
-		"in":                                    -7.590178,
-		"include":                               -7.590178,
-		"incomplete":                            -8.688791,
-		"increment_build_number":                -8.688791,
-		"inflections.uncountables.include":      -8.688791,
-		"info":                                  -7.995644,
-		"inhibit_all_warnings":                  -8.688791,
-		"initialize":                            -7.590178,
-		"inline":                                -7.590178,
-		"inspect":                               -8.688791,
-		"install_type":                          -7.302496,
-		"installed_prefix":                      -8.688791,
-		"instance":                              -7.995644,
-		"instance_eval":                         -7.590178,
-		"instance_variable_defined":             -7.995644,
-		"instance_variable_get":                 -7.995644,
-		"instance_variable_set":                 -8.688791,
-		"integrity":                             -8.688791,
-		"internal":                              -8.688791,
-		"invalid":                               -8.688791,
-		"ios":                                   -7.590178,
-		"ipa":                                   -7.590178,
-		"is":                                    -7.995644,
-		"it":                                    -6.897031,
-		"item":                                  -7.302496,
-		"javascript":                            -8.688791,
-		"jruby":                                 -8.688791,
-		"js_file":                               -8.688791,
-		"json":                                  -7.995644,
-		"json.array":                            -8.688791,
-		"json.extract":                          -8.688791,
-		"json.url":                              -8.688791,
-		"junit.headers":                         -8.688791,
-		"junit.report":                          -8.688791,
-		"just":                                  -8.688791,
-		"k":                                     -7.995644,
-		"keep_open":                             -7.995644,
-		"keg_only":                              -7.995644,
-		"keg_only_reason":                       -8.688791,
-		"key":                                   -7.079353,
-		"keys":                                  -7.079353,
-		"keywords":                              -8.688791,
-		"kind_of":                               -8.688791,
-		"klass":                                 -7.590178,
-		"klass.new":                             -7.995644,
-		"klass_name":                            -7.590178,
-		"know":                                  -8.688791,
-		"lambda":                                -8.688791,
-		"lane":                                  -6.742881,
-		"languages":                             -8.688791,
-		"last":                                  -7.590178,
-		"later":                                 -8.688791,
-		"layout":                                -8.688791,
-		"left":                                  -8.688791,
-		"lib":                                   -8.688791,
-		"lib_directory":                         -7.995644,
-		"libexec":                               -8.688791,
-		"like":                                  -8.688791,
-		"linguist":                              -7.302496,
-		"linked_keg":                            -8.688791,
-		"lion":                                  -8.688791,
-		"list_range":                            -8.688791,
-		"load":                                  -7.590178,
-		"location":                              -8.688791,
-		"lock":                                  -8.688791,
-		"logging":                               -7.995644,
-		"m":                                     -7.590178,
-		"m.public_instance_methods":             -8.688791,
-		"macruby":                               -8.688791,
-		"make":                                  -8.688791,
-		"man":                                   -5.855577,
-		"map":                                   -8.688791,
-		"margin":                                -7.995644,
-		"match":                                 -7.079353,
-		"max_age":                               -7.995644,
-		"may":                                   -8.688791,
-		"md":                                    -8.688791,
-		"md5":                                   -7.995644,
-		"means":                                 -8.688791,
-		"message":                               -7.995644,
-		"metaclass":                             -7.995644,
-		"method":                                -7.995644,
-		"method_added":                          -8.688791,
-		"method_name":                           -7.079353,
-		"method_override":                       -7.302496,
-		"method_source":                         -7.995644,
-		"methodoverride":                        -7.995644,
-		"methods":                               -8.688791,
-		"methods.each":                          -8.688791,
-		"mime":                                  -7.995644,
-		"mime_type":                             -8.688791,
-		"min_stale":                             -8.688791,
-		"mirror":                                -8.688791,
-		"mirror_list":                           -8.688791,
-		"mirror_list.empty":                     -8.688791,
-		"mirror_list.shift.values_at":           -8.688791,
-		"mirrors":                               -6.742881,
-		"mismatch":                              -8.688791,
-		"missing":                               -8.688791,
-		"mktemp":                                -8.688791,
-		"mocha":                                 -7.995644,
-		"module":                                -6.609349,
-		"must":                                  -7.995644,
-		"must_revalidate":                       -7.995644,
-		"n":                                     -7.079353,
-		"n.count":                               -8.688791,
-		"n.id":                                  -8.688791,
-		"n.to_f":                                -8.688791,
-		"n.to_i":                                -8.688791,
-		"name":                                  -4.817590,
-		"name.basename":                         -8.688791,
-		"name.capitalize.gsub":                  -8.688791,
-		"name.include":                          -8.688791,
-		"name.kind_of":                          -8.688791,
-		"name.to_s":                             -7.995644,
-		"name_r":                                -8.688791,
-		"names":                                 -8.688791,
-		"names.each":                            -8.688791,
-		"namespace":                             -7.590178,
-		"nend":                                  -8.688791,
-		"new":                                   -7.079353,
-		"next":                                  -8.688791,
-		"next_token":                            -8.688791,
-		"nil":                                   -4.177931,
-		"no_cache":                              -8.688791,
-		"no_store":                              -8.688791,
-		"node":                                  -7.995644,
-		"node_numbers":                          -8.688791,
-		"nodes":                                 -8.688791,
-		"nodoc":                                 -7.590178,
-		"non":                                   -7.995644,
-		"not":                                   -7.302496,
-		"not_found":                             -8.688791,
-		"notes":                                 -7.302496,
-		"notify":                                -7.590178,
-		"number":                                -7.590178,
-		"number.to_i.abs":                       -7.995644,
-		"object":                                -7.995644,
-		"of":                                    -7.590178,
-		"ohai":                                  -7.995644,
-		"only":                                  -8.688791,
-		"onoe":                                  -8.688791,
-		"open":                                  -7.590178,
-		"opoo":                                  -8.688791,
-		"options":                               -8.688791,
-		"or":                                    -6.742881,
-		"ordinal":                               -8.688791,
-		"ordinalize":                            -8.688791,
-		"out":                                   -7.590178,
-		"output":                                -7.995644,
-		"output.puts":                           -8.688791,
-		"override":                              -7.302496,
-		"p":                                     -6.897031,
-		"p.to_s":                                -7.995644,
-		"package":                               -8.688791,
-		"parameter":                             -8.688791,
-		"params":                                -7.995644,
-		"parse":                                 -8.688791,
-		"part":                                  -8.688791,
-		"part.empty":                            -8.688791,
-		"partial":                               -8.688791,
-		"parts":                                 -7.995644,
-		"parts.pop":                             -8.688791,
-		"parts.reverse.inject":                  -8.688791,
-		"passed":                                -8.688791,
-		"patch":                                 -7.302496,
-		"patch_args":                            -8.688791,
-		"patch_list":                            -7.079353,
-		"patches":                               -7.995644,
-		"path":                                  -5.855577,
-		"path.keys":                             -8.688791,
-		"path.names":                            -8.688791,
-		"path.nil":                              -8.688791,
-		"path.realpath.to_s":                    -8.688791,
-		"path.relative_path_from":               -8.688791,
-		"path.respond_to":                       -7.079353,
-		"path.rindex":                           -7.995644,
-		"path.stem":                             -8.688791,
-		"path.to_s":                             -7.590178,
-		"paths":                                 -7.590178,
-		"pattern":                               -8.688791,
-		"pcase":                                 -8.688791,
-		"peek":                                  -8.688791,
-		"pending":                               -8.688791,
-		"person":                                -8.688791,
-		"phone_number":                          -8.688791,
-		"phone_numbers":                         -8.688791,
-		"platform":                              -7.995644,
-		"plist":                                 -7.995644,
-		"plist_version":                         -8.688791,
-		"plugin":                                -7.590178,
-		"plugin.description":                    -8.688791,
-		"plugin.display_name":                   -8.688791,
-		"plugin.name":                           -8.688791,
-		"plugin.version":                        -8.688791,
-		"pluralize":                             -8.688791,
-		"png":                                   -7.995644,
-		"pnumbers":                              -8.688791,
-		"pod":                                   -6.742881,
-		"policy":                                -8.688791,
-		"pop":                                   -8.688791,
-		"port":                                  -7.302496,
-		"port_lview":                            -7.995644,
-		"port_pview":                            -7.995644,
-		"possible_alias.file":                   -8.688791,
-		"possible_alias.realpath.basename":      -8.688791,
-		"possible_cached_formula.file":          -8.688791,
-		"possible_cached_formula.to_s":          -8.688791,
-		"post":                                  -8.688791,
-		"preferred_type":                        -8.688791,
-		"prefix":                                -6.123841,
-		"prefix.parent":                         -8.688791,
-		"prefixed_redirects":                    -8.688791,
-		"price_tier":                            -8.688791,
-		"primary_category":                      -8.688791,
-		"private":                               -7.302496,
-		"proc":                                  -7.995644,
-		"processed":                             -7.995644,
-		"production":                            -8.688791,
-		"prompt":                                -8.688791,
-		"protected":                             -8.688791,
-		"protection":                            -8.688791,
-		"provide":                               -8.688791,
-		"proxy_revalidate":                      -8.688791,
-		"pry":                                   -7.995644,
-		"public":                                -7.590178,
-		"public_folder":                         -7.590178,
-		"push":                                  -8.688791,
-		"push_to_git_remote":                    -8.688791,
-		"put":                                   -8.688791,
-		"puts":                                  -6.386206,
-		"pwd":                                   -8.688791,
-		"px":                                    -7.590178,
-		"queue":                                 -5.855577,
-		"queue.to_s":                            -8.688791,
-		"queues":                                -7.590178,
-		"queues.inject":                         -8.688791,
-		"queues.size":                           -8.688791,
-		"r":                                     -7.995644,
-		"racc_action_check":                     -7.995644,
-		"racc_action_default":                   -7.995644,
-		"racc_action_pointer":                   -7.995644,
-		"racc_action_table":                     -7.995644,
-		"racc_error":                            -8.688791,
-		"racc_goto_check":                       -7.995644,
-		"racc_goto_default":                     -7.995644,
-		"racc_goto_pointer":                     -7.995644,
-		"racc_goto_table":                       -7.995644,
-		"racc_nt_base":                          -7.995644,
-		"racc_reduce_n":                         -7.995644,
-		"racc_reduce_table":                     -7.995644,
-		"racc_shift_n":                          -7.995644,
-		"racc_token_table":                      -7.995644,
-		"racc_use_result_var":                   -7.995644,
-		"rack":                                  -8.688791,
-		"raise":                                 -6.049733,
-		"raise_error":                           -8.688791,
-		"raise_errors":                          -8.688791,
-		"rake":                                  -7.590178,
-		"ratings_config_path":                   -8.688791,
-		"rbx":                                   -8.688791,
-		"reason":                                -7.995644,
-		"recursive_deps":                        -8.688791,
-		"redis":                                 -6.897031,
-		"redis.client.id":                       -8.688791,
-		"redis.lindex":                          -8.688791,
-		"redis.lrange":                          -8.688791,
-		"redis.nodes.map":                       -8.688791,
-		"redis.respond_to":                      -7.995644,
-		"redis.server":                          -8.688791,
-		"redis.smembers":                        -8.688791,
-		"redis_id":                              -7.995644,
-		"reference":                             -8.688791,
-		"region":                                -8.688791,
-		"region_r":                              -8.688791,
-		"registered_at":                         -8.688791,
-		"release":                               -8.688791,
-		"relevant":                              -8.688791,
-		"reload_templates":                      -8.688791,
-		"remote":                                -7.995644,
-		"remove":                                -8.688791,
-		"remove_queue":                          -8.688791,
-		"replacement":                           -7.995644,
-		"report":                                -7.995644,
-		"reports":                               -8.688791,
-		"request":                               -8.688791,
-		"request.env":                           -7.995644,
-		"request.finish":                        -8.688791,
-		"request.in":                            -8.688791,
-		"request.out":                           -8.688791,
-		"request.request_method.downcase":       -8.688791,
-		"require":                               -4.412125,
-		"require_all":                           -7.302496,
-		"rescue":                                -6.290896,
-		"reset":                                 -8.688791,
-		"respond_to":                            -8.688791,
-		"response":                              -7.995644,
-		"response.status":                       -8.688791,
-		"resque":                                -7.995644,
-		"restart_service":                       -8.688791,
-		"result":                                -5.356586,
-		"result.downcase":                       -8.688791,
-		"result.sub":                            -8.688791,
-		"retry":                                 -7.995644,
-		"return":                                -5.597748,
-		"role":                                  -8.688791,
-		"root":                                  -7.079353,
-		"rsquo":                                 -8.688791,
-		"ruby":                                  -6.742881,
-		"ruby_engine":                           -6.897031,
-		"ruby_engine.nil":                       -8.688791,
-		"rugged":                                -7.995644,
-		"rule":                                  -7.995644,
-		"rules":                                 -8.688791,
-		"rules.each":                            -8.688791,
-		"run":                                   -7.995644,
-		"running":                               -8.688791,
-		"rv":                                    -7.590178,
-		"s":                                     -7.995644,
-		"s_max_age":                             -8.688791,
-		"safe_system":                           -7.590178,
-		"sbin":                                  -8.688791,
-		"schedule":                              -8.688791,
-		"scheduler":                             -7.590178,
-		"scheme":                                -7.302496,
-		"screenshots_path":                      -8.688791,
-		"secondary_category":                    -8.688791,
-		"self":                                  -5.916202,
-		"self.all":                              -8.688791,
-		"self.class.cc_failures.find":           -8.688791,
-		"self.class.cc_failures.nil":            -8.688791,
-		"self.class.dependencies.deps":          -8.688791,
-		"self.class.dependencies.external_deps": -8.688791,
-		"self.class.keg_only_reason":            -8.688791,
-		"self.class.mirrors":                    -8.688791,
-		"self.class.path":                       -8.688791,
-		"self.class.skip_clean_all":             -8.688791,
-		"self.class.skip_clean_paths.include":   -8.688791,
-		"self.class_s":                          -7.995644,
-		"self.configuration":                    -8.688791,
-		"self.delegate":                         -8.688791,
-		"self.each":                             -8.688791,
-		"self.expand_deps":                      -8.688791,
-		"self.factory":                          -8.688791,
-		"self.helpers":                          -8.688791,
-		"self.map":                              -8.688791,
-		"self.names":                            -8.688791,
-		"self.path":                             -8.688791,
-		"self.redis":                            -7.995644,
-		"self.register":                         -8.688791,
-		"self.require_all_gems":                 -8.688791,
-		"self.require_gem":                      -8.688791,
-		"self.target":                           -8.688791,
-		"self.use":                              -8.688791,
-		"send":                                  -8.688791,
-		"send_file":                             -8.688791,
-		"sending":                               -8.688791,
-		"serialized":                            -7.995644,
-		"serialized.should":                     -7.995644,
-		"server":                                -6.609349,
-		"server.split":                          -7.995644,
-		"server.unshift":                        -6.897031,
-		"servers":                               -7.995644,
-		"session_secret":                        -7.590178,
-		"sessions":                              -8.688791,
-		"set":                                   -5.105272,
-		"set_instance_variable":                 -6.203884,
-		"settings":                              -7.995644,
-		"settings.add_charset":                  -8.688791,
-		"sha1":                                  -6.203884,
-		"sha256":                                -8.688791,
-		"share":                                 -8.688791,
-		"shift":                                 -8.688791,
-		"should":                                -8.688791,
-		"show_exceptions":                       -8.688791,
-		"sig":                                   -7.995644,
-		"sigh":                                  -7.590178,
-		"singularize":                           -8.688791,
-		"size":                                  -7.590178,
-		"skip_clean":                            -7.995644,
-		"skip_clean_all":                        -7.995644,
-		"skip_clean_paths":                      -8.688791,
-		"skip_deploy":                           -7.590178,
-		"slop":                                  -7.995644,
-		"source":                                -7.590178,
-		"specified":                             -7.995644,
-		"specifies":                             -8.688791,
-		"specs":                                 -5.980741,
-		"specs=":                                -7.590178,
-		"src=":                                  -8.688791,
-		"srv_str":                               -7.302496,
-		"stable":                                -7.995644,
-		"stack":                                 -7.995644,
-		"stage":                                 -7.590178,
-		"standard":                              -7.590178,
-		"start":                                 -6.742881,
-		"static":                                -8.688791,
-		"static_cache_control":                  -8.688791,
-		"status":                                -7.302496,
-		"std_cmake_args":                        -8.688791,
-		"stderr.puts":                           -7.995644,
-		"stdin":                                 -8.688791,
-		"stdout":                                -8.688791,
-		"stdout.puts":                           -8.688791,
-		"sticky":                                -8.688791,
-		"store":                                 -8.688791,
-		"stream":                                -8.688791,
-		"super":                                 -7.302496,
-		"supplied":                              -7.079353,
-		"supplied.empty":                        -8.688791,
-		"t":                                     -7.590178,
-		"table_name":                            -8.688791,
-		"table_name.to_s.sub":                   -8.688791,
-		"tableize":                              -8.688791,
-		"tag":                                   -8.688791,
-		"tap":                                   -7.590178,
-		"target":                                -7.995644,
-		"target_file":                           -6.897031,
-		"task":                                  -7.995644,
-		"template":                              -8.688791,
-		"test":                                  -6.742881,
-		"test_disabled":                         -7.995644,
-		"text":                                  -7.590178,
-		"the":                                   -6.386206,
-		"then":                                  -7.590178,
-		"there":                                 -8.688791,
-		"this":                                  -7.995644,
-		"though":                                -8.688791,
-		"thread_safe":                           -8.688791,
-		"threaded":                              -8.688791,
-		"title":                                 -8.688791,
-		"to":                                    -7.995644,
-		"to_check":                              -7.995644,
-		"to_s":                                  -7.590178,
-		"tokenizer":                             -7.995644,
-		"true":                                  -5.510737,
-		"type":                                  -6.123841,
-		"type.to_s.upcase":                      -8.688791,
-		"type=":                                 -8.688791,
-		"types":                                 -7.995644,
-		"u":                                     -8.688791,
-		"u.phone_numbers":                       -8.688791,
-		"underscore":                            -8.688791,
-		"underscored_word":                      -8.688791,
-		"underscored_word.tr":                   -8.688791,
-		"uninitialized":                         -8.688791,
-		"unless":                                -6.123841,
-		"unstable":                              -7.590178,
-		"upcase":                                -7.995644,
-		"uri_pathquery":                         -7.995644,
-		"url":                                   -5.693059,
-		"url=":                                  -8.688791,
-		"use":                                   -8.688791,
-		"use_code":                              -8.688791,
-		"user":                                  -7.995644,
-		"user.is_admin":                         -8.688791,
-		"username":                              -8.688791,
-		"usr":                                   -7.590178,
-		"v":                                     -7.590178,
-		"val":                                   -5.430694,
-		"val=":                                  -7.995644,
-		"validate_variable":                     -6.742881,
-		"value":                                 -6.897031,
-		"value.should":                          -7.995644,
-		"verify":                                -8.688791,
-		"verify_download_integrity":             -7.995644,
-		"version":                               -5.916202,
-		"views":                                 -8.688791,
-		"void":                                  -8.688791,
-		"w":                                     -6.897031,
-		"web":                                   -7.302496,
-		"webrick":                               -8.688791,
-		"website":                               -8.688791,
-		"when":                                  -6.491566,
-		"whether":                               -8.688791,
-		"width":                                 -8.688791,
-		"with":                                  -7.995644,
-		"with_params":                           -8.688791,
-		"word":                                  -8.688791,
-		"word.empty":                            -8.688791,
-		"word.to_s.dup":                         -8.688791,
-		"workers":                               -8.688791,
-		"workers.size.to_i":                     -8.688791,
-		"working":                               -7.995644,
-		"working.size":                          -8.688791,
-		"wrong":                                 -8.688791,
-		"xcodeproj":                             -8.688791,
-		"xctest":                                -8.688791,
-		"xhtml":                                 -8.688791,
-		"xml":                                   -7.995644,
-		"yajl":                                  -7.995644,
-		"yet":                                   -8.688791,
-		"yield":                                 -6.897031,
-		"your":                                  -8.688791,
-		"zA":                                    -8.688791,
-		"zero":                                  -8.688791,
-		"{":                                     -4.699807,
-		"|":                                     -4.358057,
-		"||":                                    -5.744352,
-		"}":                                     -4.681458,
-		"~":                                     -6.049733,
+		"!":    -5.395478,
+		"#":    -5.800943,
+		"####": -7.998167,
+		"###############################################################################": -7.998167,
+		"#c":                          -8.691315,
+		"#cgi_server.serve":           -8.691315,
+		"#exit":                       -8.691315,
+		"#remove":                     -8.691315,
+		"$":                           -5.858101,
+		"%":                           -7.081877,
+		"&":                           -5.858101,
+		"&&":                          -6.611873,
+		"(":                           -3.138355,
+		")":                           -3.142238,
+		"*added_methods":              -8.691315,
+		"*args":                       -6.388729,
+		"*extensions":                 -7.305020,
+		"*methods":                    -8.691315,
+		"+":                           -5.027753,
+		",":                           -2.163357,
+		"-":                           -4.387249,
+		".":                           -8.691315,
+		"..":                          -8.691315,
+		"./":                          -8.691315,
+		".basename":                   -8.691315,
+		".deep_merge":                 -8.691315,
+		".destroy":                    -8.691315,
+		".disable":                    -8.691315,
+		".each":                       -7.998167,
+		".flatten":                    -8.691315,
+		".flatten.each":               -8.691315,
+		".flatten.uniq":               -8.691315,
+		".gsub":                       -7.998167,
+		".include":                    -7.998167,
+		".join":                       -8.691315,
+		".map":                        -7.305020,
+		".pop":                        -8.691315,
+		".rb":                         -8.691315,
+		".read":                       -7.592702,
+		".run":                        -8.691315,
+		".should":                     -7.592702,
+		".size":                       -8.691315,
+		".slice":                      -8.691315,
+		".sort":                       -8.691315,
+		".sort_by":                    -8.691315,
+		".split":                      -8.691315,
+		".to_i":                       -7.998167,
+		".to_s":                       -7.592702,
+		".to_s.split":                 -8.691315,
+		".to_sym":                     -8.691315,
+		".unshift":                    -7.998167,
+		".void":                       -8.691315,
+		"/":                           -5.983264,
+		"/.*":                         -8.691315,
+		"//":                          -8.691315,
+		"//rubygems.org/":             -8.691315,
+		"/@name":                      -8.691315,
+		"/Library/Taps/":              -8.691315,
+		"/h":                          -8.691315,
+		"/not":                        -8.691315,
+		"/redis":                      -8.691315,
+		"/usr/bin/env":                -6.745404,
+		"0":                           -8.691315,
+		"404":                         -8.691315,
+		"8":                           -8.691315,
+		":":                           -2.751143,
+		";":                           -4.862673,
+		"<":                           -6.745404,
+		"<#{@instance.class}>":        -8.691315,
+		"<(data)>":                    -8.691315,
+		"<-EOF>":                      -8.691315,
+		"<-HTML).gsub(/^>":            -8.691315,
+		"</body>":                     -8.691315,
+		"</div>":                      -8.691315,
+		"</head>":                     -8.691315,
+		"</html>":                     -8.691315,
+		"</pre>":                      -8.691315,
+		"</style>":                    -8.691315,
+		"</tt>":                       -8.691315,
+		"<<":                          -6.206408,
+		"<body>":                      -8.691315,
+		"<david.calavera@gmail.com>":  -8.691315,
+		"<div>":                       -8.691315,
+		"<head>":                      -8.691315,
+		"<html>":                      -8.691315,
+		"<img>":                       -8.691315,
+		"<internal:/,>":               -8.691315,
+		"<pre>":                       -8.691315,
+		"<source>":                    -8.691315,
+		"<style>":                     -8.691315,
+		"<tt>":                        -8.691315,
+		"=":                           -3.014561,
+		">":                           -4.086144,
+		"?":                           -4.373826,
+		"@after_fork":                 -7.998167,
+		"@before_first_fork":          -7.998167,
+		"@before_fork":                -7.998167,
+		"@bottle_sha":                 -8.691315,
+		"@bottle_url":                 -8.691315,
+		"@cc_failures":                -7.998167,
+		"@coder":                      -8.691315,
+		"@courts":                     -8.691315,
+		"@dependencies":               -8.691315,
+		"@downloader":                 -8.691315,
+		"@env":                        -7.998167,
+		"@handler":                    -8.691315,
+		"@handler.end_array":          -8.691315,
+		"@handler.end_object":         -8.691315,
+		"@handler.scalar":             -7.998167,
+		"@handler.start_array":        -8.691315,
+		"@handler.start_object":       -8.691315,
+		"@head":                       -7.998167,
+		"@instance":                   -7.998167,
+		"@instance.settings":          -8.691315,
+		"@keg_only_reason":            -8.691315,
+		"@mirrors.uniq":               -8.691315,
+		"@name":                       -7.592702,
+		"@path":                       -8.691315,
+		"@queues":                     -7.998167,
+		"@queues.delete":              -8.691315,
+		"@redis":                      -6.899555,
+		"@skip_clean_all":             -7.998167,
+		"@skip_clean_paths":           -7.592702,
+		"@skip_clean_paths.include":   -8.691315,
+		"@spec_to_use":                -7.305020,
+		"@spec_to_use.detect_version": -8.691315,
+		"@spec_to_use.specs":          -8.691315,
+		"@spec_to_use.url":            -8.691315,
+		"@specs":                      -8.691315,
+		"@stack":                      -8.691315,
+		"@stack.call":                 -8.691315,
+		"@standard":                   -7.998167,
+		"@standard.nil":               -8.691315,
+		"@tokenizer":                  -8.691315,
+		"@tokenizer.next_token":       -8.691315,
+		"@unstable":                   -8.691315,
+		"@uri":                        -7.081877,
+		"@url":                        -7.592702,
+		"@url.nil":                    -8.691315,
+		"@user":                       -8.691315,
+		"@version":                    -7.081877,
+		"ARGV":                        -7.305020,
+		"ARGV.build_head":             -8.691315,
+		"ARGV.formulae.include":       -8.691315,
+		"ARGV.named.empty":            -8.691315,
+		"AUTO_INDENT":                 -8.691315,
+		"ActiveSupport":               -8.691315,
+		"Allows":                      -8.691315,
+		"Any":                         -7.998167,
+		"Any.serialize":               -7.305020,
+		"Any.unserialize":             -7.592702,
+		"Application":                 -7.998167,
+		"Archive":                     -8.691315,
+		"ArgumentError":               -8.691315,
+		"Array":                       -7.998167,
+		"Base":                        -8.691315,
+		"Bundler.require":             -8.691315,
+		"CGIServer.new":               -8.691315,
+		"CHECKSUM_TYPES":              -8.691315,
+		"CHECKSUM_TYPES.detect":       -8.691315,
+		"CHECKSUM_TYPES.each":         -8.691315,
+		"CHECKSUM_TYPES=":             -8.691315,
+		"CLI.new":                     -8.691315,
+		"Cache":                       -8.691315,
+		"Cannot":                      -8.691315,
+		"Cast_mdata_server_t.create_dir_ifneeded": -8.691315,
+		"Cast_mdata_server_t.del_cast_mdata":      -8.691315,
+		"Cast_mdata_server_t.get_cast_mdata":      -8.691315,
+		"Cast_mdata_server_t.set_cast_mdata_pull": -8.691315,
+		"Cast_mdata_server_t.set_cast_mdata_push": -8.691315,
+		"Class":                                 -8.691315,
+		"Compiler":                              -8.691315,
+		"Compiler.new":                          -8.691315,
+		"CompilerFailure.new":                   -7.998167,
+		"CompilerFailures.new":                  -8.691315,
+		"Control":                               -8.691315,
+		"CurlDownloadStrategyError":             -8.691315,
+		"DCMAKE_BUILD_TYPE":                     -8.691315,
+		"DCMAKE_FIND_FRAMEWORK":                 -8.691315,
+		"DCMAKE_INSTALL_PREFIX":                 -8.691315,
+		"DEFAULTS":                              -7.998167,
+		"DEFAULTS.deep_merge":                   -8.691315,
+		"DEPENDENCIES":                          -8.691315,
+		"DOCTYPE":                               -8.691315,
+		"DSL":                                   -8.691315,
+		"Delegator":                             -8.691315,
+		"Delegator.delegate":                    -8.691315,
+		"Delegator.target.helpers":              -8.691315,
+		"Delegator.target.send":                 -8.691315,
+		"Delegator.target.use":                  -8.691315,
+		"DependencyCollector.new":               -8.691315,
+		"Digest.const_get":                      -8.691315,
+		"Dir":                                   -7.592702,
+		"Dir.pwd":                               -7.592702,
+		"Dir.pwd.split":                         -7.998167,
+		"Downloading":                           -8.691315,
+		"ENV":                                   -6.206408,
+		"ENV.fetch":                             -8.691315,
+		"EOF":                                   -8.691315,
+		"Environment.run":                       -8.691315,
+		"EventMachine":                          -8.691315,
+		"Exception":                             -8.691315,
+		"Expected":                              -8.691315,
+		"Experimental":                          -8.691315,
+		"ExtendedCommands":                      -8.691315,
+		"FALSE":                                 -8.691315,
+		"FCGI.each_request":                     -8.691315,
+		"FCGI_PURE_RUBY":                        -8.691315,
+		"FaultException.new":                    -8.691315,
+		"File.basename":                         -8.691315,
+		"File.dirname":                          -6.745404,
+		"File.exist":                            -7.998167,
+		"File.expand_path":                      -7.305020,
+		"File.join":                             -6.611873,
+		"File.write":                            -8.691315,
+		"FileUtils":                             -8.691315,
+		"FileUtils.rm":                          -8.691315,
+		"Foo":                                   -8.691315,
+		"For":                                   -8.691315,
+		"Formula":                               -7.592702,
+		"Formula.canonical_name":                -8.691315,
+		"Formula.expand_deps":                   -8.691315,
+		"Formula.factory":                       -7.998167,
+		"Formula.path":                          -8.691315,
+		"FormulaUnavailableError.new":           -8.691315,
+		"GEM":                                   -8.691315,
+		"GemLoader":                             -8.691315,
+		"Got":                                   -8.691315,
+		"Grit":                                  -8.691315,
+		"HOMEBREW_CACHE.mkpath":                 -8.691315,
+		"HOMEBREW_CACHE_FORMULA":                -8.691315,
+		"HOMEBREW_CACHE_FORMULA.mkpath":         -8.691315,
+		"HOMEBREW_CELLAR":                       -7.998167,
+		"HOMEBREW_PREFIX":                       -8.691315,
+		"HOMEBREW_REPOSITORY":                   -7.998167,
+		"HOMEBREW_REPOSITORY/":                  -8.691315,
+		"HTML":                                  -8.691315,
+		"HTTP":                                  -8.691315,
+		"Handler.new":                           -8.691315,
+		"Hash":                                  -7.592702,
+		"Hash.new":                              -8.691315,
+		"Helpers":                               -8.691315,
+		"IRB.conf":                              -8.691315,
+		"Ilib":                                  -8.691315,
+		"Interrupt":                             -7.998167,
+		"Invalid":                               -8.691315,
+		"JSON.parse":                            -7.998167,
+		"Jekyll":                                -7.592702,
+		"Jenkins":                               -8.691315,
+		"KegOnlyReason.new":                     -8.691315,
+		"LAST":                                  -8.691315,
+		"LOAD_PATH":                             -8.691315,
+		"Literal":                               -7.998167,
+		"Literal.new":                           -7.998167,
+		"LoadError":                             -7.592702,
+		"MacOS":                                 -7.998167,
+		"MultiJsonCoder.new":                    -8.691315,
+		"NULL":                                  -8.691315,
+		"NUMBER":                                -8.691315,
+		"NameError":                             -7.998167,
+		"Namespace":                             -8.691315,
+		"Namespace.new":                         -7.998167,
+		"Neoip":                                 -7.081877,
+		"None":                                  -8.691315,
+		"NotFound":                              -8.691315,
+		"NotImplementedError":                   -8.691315,
+		"Object":                                -8.691315,
+		"Object.const_get":                      -8.691315,
+		"PATH":                                  -8.691315,
+		"PLATFORMS":                             -8.691315,
+		"PROGRAM_NAME":                          -8.691315,
+		"Parser":                                -7.592702,
+		"Parser.new":                            -8.691315,
+		"Patches":                               -8.691315,
+		"Patching":                              -8.691315,
+		"Pathname":                              -7.998167,
+		"Pathname.new":                          -7.592702,
+		"Plugin":                                -8.691315,
+		"Private":                               -8.691315,
+		"Proc.new":                              -6.293419,
+		"Pry":                                   -8.691315,
+		"Pry.config.color":                      -8.691315,
+		"Pry.config.commands.alias_command":     -8.691315,
+		"Pry.config.commands.command":           -8.691315,
+		"Pry.config.commands.import":            -8.691315,
+		"Pry.config.history.should_save":        -8.691315,
+		"Pry.config.pager":                      -8.691315,
+		"Pry.config.prompt":                     -8.691315,
+		"Pry.plugins":                           -8.691315,
+		"Q":                                     -8.691315,
+		"Queue.new":                             -8.691315,
+		"RDF":                                   -6.899555,
+		"RJSON":                                 -8.691315,
+		"RUBY_ENGINE":                           -7.998167,
+		"Racc":                                  -8.691315,
+		"Racc_arg":                              -8.691315,
+		"Racc_debug_parser":                     -8.691315,
+		"Racc_token_to_s_table":                 -8.691315,
+		"Rack":                                  -8.691315,
+		"Rainbows":                              -8.691315,
+		"Redis":                                 -7.592702,
+		"Redis.connect":                         -8.691315,
+		"Redis.new":                             -8.691315,
+		"Redis.respond_to":                      -8.691315,
+		"Request":                               -7.998167,
+		"Resque":                                -7.998167,
+		"Rexpl":                                 -8.691315,
+		"RuntimeError":                          -8.691315,
+		"SHEBANG#!jruby":                        -8.691315,
+		"SHEBANG#!macruby":                      -8.691315,
+		"SHEBANG#!rake":                         -8.691315,
+		"SHEBANG#!rbx":                          -8.691315,
+		"SHEBANG#!ruby":                         -7.998167,
+		"STRING":                                -8.691315,
+		"SecureRandom.hex":                      -8.691315,
+		"Set":                                   -8.691315,
+		"Shoes":                                 -8.691315,
+		"SimpleCov.add_group":                   -7.592702,
+		"SimpleCov.command_name":                -8.691315,
+		"SimpleCov.coverage_dir":                -8.691315,
+		"SimpleCov.merge_timeout":               -8.691315,
+		"Sinatra":                               -7.998167,
+		"SoftwareSpecification":                 -7.998167,
+		"SoftwareSpecification.new":             -8.691315,
+		"Sorbet":                                -8.691315,
+		"Specification.new":                     -8.691315,
+		"Specify":                               -8.691315,
+		"Spira":                                 -6.611873,
+		"Stat":                                  -7.998167,
+		"Stream":                                -7.592702,
+		"String":                                -7.592702,
+		"SystemCallError":                       -8.691315,
+		"TRUE":                                  -8.691315,
+		"The":                                   -7.592702,
+		"Thin":                                  -8.691315,
+		"This":                                  -8.691315,
+		"ThreadError":                           -8.691315,
+		"To":                                    -8.691315,
+		"Try":                                   -8.691315,
+		"TypeError":                             -7.998167,
+		"Types":                                 -6.611873,
+		"URI":                                   -7.305020,
+		"URI.const_defined":                     -8.691315,
+		"URI.escape":                            -8.691315,
+		"VERSION":                               -8.691315,
+		"W":                                     -8.691315,
+		"Wno":                                   -8.691315,
+		"Wrapper":                               -8.691315,
+		"XMLRPC":                                -7.998167,
+		"XSD.integer":                           -8.691315,
+		"YAML.load_file":                        -8.691315,
+		"You":                                   -8.691315,
+		"Z":                                     -8.691315,
+		"Z/":                                    -8.691315,
+		"[":                                     -4.248663,
+		"\\":                                    -5.555820,
+		"]":                                     -4.248663,
+		"^":                                     -7.592702,
+		"_.":                                    -8.691315,
+		"__FILE__":                              -6.745404,
+		"__sinatra__":                           -8.691315,
+		"_reduce_":                              -5.695582,
+		"_reduce_none":                          -5.858101,
+		"_values":                               -6.293419,
+		"a":                                     -7.081877,
+		"above":                                 -8.691315,
+		"absolute_redirects":                    -8.691315,
+		"acc":                                   -7.998167,
+		"accept":                                -8.691315,
+		"accept_entry":                          -8.691315,
+		"add_charset":                           -8.691315,
+		"add_git_tag":                           -8.691315,
+		"added_methods":                         -8.691315,
+		"after":                                 -7.592702,
+		"after_all":                             -8.691315,
+		"after_fork":                            -7.998167,
+		"alias":                                 -7.998167,
+		"alias_method":                          -7.998167,
+		"align":                                 -7.998167,
+		"all":                                   -7.998167,
+		"along":                                 -8.691315,
+		"alpha":                                 -8.691315,
+		"an":                                    -8.691315,
+		"analyze":                               -8.691315,
+		"ancestor":                              -7.592702,
+		"ancestor.const_defined":                -8.691315,
+		"and":                                   -6.899555,
+		"app":                                   -7.305020,
+		"app_file":                              -7.305020,
+		"app_file=":                             -8.691315,
+		"app_icon":                              -8.691315,
+		"app_id":                                -7.998167,
+		"app_id.to_s":                           -8.691315,
+		"app_identifier":                        -8.691315,
+		"app_review_information":                -8.691315,
+		"apply_inflections":                     -8.691315,
+		"appraise":                              -7.998167,
+		"apps":                                  -7.998167,
+		"are":                                   -8.691315,
+		"args":                                  -7.998167,
+		"args.length":                           -8.691315,
+		"arial":                                 -8.691315,
+		"async":                                 -8.691315,
+		"attr":                                  -7.305020,
+		"attr_accessor":                         -7.998167,
+		"attr_reader":                           -6.899555,
+		"attr_rw":                               -7.081877,
+		"attr_writer":                           -7.305020,
+		"attributes":                            -7.998167,
+		"attrs":                                 -7.998167,
+		"auto":                                  -8.691315,
+		"automatic_release":                     -8.691315,
+		"b":                                     -7.592702,
+		"be":                                    -7.305020,
+		"be_a":                                  -7.998167,
+		"been":                                  -7.998167,
+		"before":                                -7.998167,
+		"before_all":                            -8.691315,
+		"before_first_fork":                     -7.998167,
+		"before_fork":                           -7.998167,
+		"begin":                                 -6.494090,
+		"below":                                 -8.691315,
+		"beta":                                  -7.592702,
+		"bin":                                   -7.305020,
+		"bind":                                  -8.691315,
+		"block":                                 -5.257327,
+		"block_given":                           -7.305020,
+		"body":                                  -7.592702,
+		"bottle":                                -8.691315,
+		"bottle_base_url":                       -8.691315,
+		"bottle_block":                          -7.592702,
+		"bottle_filename":                       -8.691315,
+		"bottle_sha":                            -8.691315,
+		"bottle_sha1":                           -7.998167,
+		"bottle_url":                            -7.592702,
+		"bottle_version":                        -7.592702,
+		"break":                                 -7.592702,
+		"brew":                                  -6.494090,
+		"build_devel":                           -7.998167,
+		"build_head":                            -8.691315,
+		"buildpath":                             -7.592702,
+		"bunzip2":                               -8.691315,
+		"bzip2":                                 -8.691315,
+		"cache_control":                         -8.691315,
+		"caches":                                -8.691315,
+		"call":                                  -7.998167,
+		"callback":                              -7.592702,
+		"callbacks":                             -8.691315,
+		"called":                                -8.691315,
+		"camel_cased_word":                      -7.305020,
+		"camel_cased_word.split":                -8.691315,
+		"camel_cased_word.to_s":                 -8.691315,
+		"camelcase":                             -8.691315,
+		"camelize":                              -8.691315,
+		"cannot":                                -8.691315,
+		"case":                                  -7.305020,
+		"cask":                                  -8.691315,
+		"cast_id":                               -7.998167,
+		"cast_mdata":                            -7.998167,
+		"cast_name":                             -6.611873,
+		"cast_privhash":                         -7.998167,
+		"cast_privtext":                         -6.899555,
+		"cat":                                   -8.691315,
+		"cc":                                    -7.592702,
+		"cc.build":                              -8.691315,
+		"cc.is_a":                               -8.691315,
+		"cc.name":                               -8.691315,
+		"cc_failures":                           -8.691315,
+		"center":                                -8.691315,
+		"cgi_server":                            -8.691315,
+		"cgi_server.add_handler":                -7.305020,
+		"cgi_server.serve":                      -8.691315,
+		"cgi_server.set_default_handler":        -8.691315,
+		"changelog":                             -8.691315,
+		"changes":                               -8.691315,
+		"char":                                  -7.305020,
+		"characters":                            -8.691315,
+		"charlock_holmes":                       -7.998167,
+		"checksum":                              -8.691315,
+		"checksum_type":                         -7.998167,
+		"child":                                 -8.691315,
+		"class":                                 -6.388729,
+		"class_eval":                            -8.691315,
+		"class_name":                            -7.998167,
+		"class_value":                           -7.592702,
+		"classify":                              -8.691315,
+		"clean":                                 -8.691315,
+		"clear":                                 -8.691315,
+		"client":                                -8.691315,
+		"close":                                 -7.998167,
+		"closed":                                -8.691315,
+		"coder":                                 -7.592702,
+		"coderay":                               -7.998167,
+		"color":                                 -8.691315,
+		"commit_version_bump":                   -8.691315,
+		"compiler":                              -7.592702,
+		"compressed_filename":                   -7.998167,
+		"compression":                           -8.691315,
+		"config":                                -7.592702,
+		"config.is_a":                           -8.691315,
+		"config_file":                           -7.998167,
+		"configuration":                         -7.592702,
+		"configure":                             -7.998167,
+		"connect":                               -8.691315,
+		"const":                                 -7.592702,
+		"const_regexp":                          -7.592702,
+		"constant":                              -7.305020,
+		"constant.ancestors.inject":             -8.691315,
+		"constant.const_get":                    -8.691315,
+		"constantize":                           -8.691315,
+		"content_type":                          -7.592702,
+		"context":                               -8.691315,
+		"count":                                 -7.081877,
+		"court":                                 -7.592702,
+		"court_url":                             -8.691315,
+		"created_at":                            -8.691315,
+		"curl":                                  -8.691315,
+		"current":                               -7.998167,
+		"dasherize":                             -8.691315,
+		"data":                                  -7.081877,
+		"datatype":                              -8.691315,
+		"db":                                    -7.592702,
+		"declared_trivial":                      -7.998167,
+		"decode":                                -7.998167,
+		"deconstantize":                         -8.691315,
+		"def":                                   -3.839284,
+		"default":                               -7.998167,
+		"default_encoding":                      -8.691315,
+		"default_platform":                      -8.691315,
+		"define":                                -8.691315,
+		"define_method":                         -8.691315,
+		"defined":                               -8.691315,
+		"delegate":                              -8.691315,
+		"delete":                                -8.691315,
+		"deliver":                               -7.592702,
+		"demo_password":                         -8.691315,
+		"demo_user":                             -8.691315,
+		"demodulize":                            -8.691315,
+		"dep":                                   -7.592702,
+		"dep.to_s":                              -8.691315,
+		"dependencies":                          -8.691315,
+		"dependencies.add":                      -8.691315,
+		"depends_on":                            -8.691315,
+		"deployment_target":                     -8.691315,
+		"deps":                                  -8.691315,
+		"dequeue":                               -8.691315,
+		"desc":                                  -7.081877,
+		"describe":                              -8.691315,
+		"description":                           -8.691315,
+		"destination":                           -8.691315,
+		"dev":                                   -8.691315,
+		"devel":                                 -8.691315,
+		"development":                           -6.899555,
+		"devices":                               -8.691315,
+		"did":                                   -8.691315,
+		"directives":                            -7.998167,
+		"disable":                               -8.691315,
+		"ditty.":                                -8.691315,
+		"do":                                    -4.683981,
+		"do_parse":                              -8.691315,
+		"doc":                                   -8.691315,
+		"doesn":                                 -8.691315,
+		"don":                                   -8.691315,
+		"download":                              -7.592702,
+		"download_strategy.new":                 -7.998167,
+		"downloader":                            -6.899555,
+		"downloader.fetch":                      -8.691315,
+		"dump_errors":                           -8.691315,
+		"dup":                                   -8.691315,
+		"e":                                     -6.611873,
+		"e.message":                             -7.998167,
+		"e.name.to_s":                           -8.691315,
+		"each":                                  -7.592702,
+		"else":                                  -5.646792,
+		"elsif":                                 -6.745404,
+		"email":                                 -7.998167,
+		"empty":                                 -7.998167,
+		"empty_path_info":                       -8.691315,
+		"enable":                                -8.691315,
+		"enc":                                   -7.081877,
+		"encoded":                               -8.691315,
+		"end":                                   -3.190056,
+		"enqueue_to":                            -8.691315,
+		"ensure_git_status_clean":               -8.691315,
+		"entries":                               -8.691315,
+		"entries.map":                           -8.691315,
+		"env":                                   -7.592702,
+		"environment":                           -7.998167,
+		"err":                                   -8.691315,
+		"err.to_s":                              -8.691315,
+		"errback":                               -8.691315,
+		"error":                                 -7.081877,
+		"errors":                                -8.691315,
+		"escape_utils":                          -7.998167,
+		"etc":                                   -8.691315,
+		"even":                                  -8.691315,
+		"evented":                               -8.691315,
+		"exception":                             -8.691315,
+		"exclusive":                             -8.691315,
+		"executed":                              -8.691315,
+		"exit":                                  -8.691315,
+		"expand_deps":                           -8.691315,
+		"explanation":                           -8.691315,
+		"explanation.to_s.chomp":                -8.691315,
+		"extend":                                -8.691315,
+		"extends":                               -8.691315,
+		"extensions.map":                        -8.691315,
+		"external_deps":                         -8.691315,
+		"external_patches":                      -8.691315,
+		"f":                                     -6.293419,
+		"f.deps.map":                            -8.691315,
+		"f_dep":                                 -7.592702,
+		"fail":                                  -8.691315,
+		"failed":                                -7.998167,
+		"fails_with":                            -7.998167,
+		"failure":                               -8.691315,
+		"failure.build":                         -8.691315,
+		"failure.build.zero":                    -8.691315,
+		"failure.compiler":                      -8.691315,
+		"false":                                 -5.257327,
+		"family":                                -8.691315,
+		"fastlane_version":                      -8.691315,
+		"fetch":                                 -8.691315,
+		"fetched":                               -7.081877,
+		"file":                                  -7.998167,
+		"filename":                              -7.998167,
+		"first":                                 -8.691315,
+		"first_name":                            -8.691315,
+		"fn":                                    -7.998167,
+		"fn.incremental_hash":                   -8.691315,
+		"folder":                                -8.691315,
+		"font":                                  -7.998167,
+		"for":                                   -7.998167,
+		"force":                                 -7.998167,
+		"format":                                -8.691315,
+		"formula":                               -7.998167,
+		"formula_with_that_name.file":           -8.691315,
+		"formula_with_that_name.readable":       -8.691315,
+		"framework_version":                     -7.592702,
+		"freeze":                                -8.691315,
+		"freshness":                             -8.691315,
+		"from":                                  -8.691315,
+		"from_name":                             -7.998167,
+		"from_path":                             -8.691315,
+		"from_url":                              -8.691315,
+		"front":                                 -8.691315,
+		"ftp":                                   -8.691315,
+		"gem":                                   -7.305020,
+		"generated":                             -8.691315,
+		"get":                                   -7.998167,
+		"get_version_short_string":              -8.691315,
+		"git.modified_files.include":            -8.691315,
+		"git_commit_log":                        -8.691315,
+		"github":                                -7.305020,
+		"github.pr_body":                        -8.691315,
+		"github.pr_title":                       -8.691315,
+		"glob":                                  -7.998167,
+		"grammars":                              -7.998167,
+		"gunzip":                                -8.691315,
+		"gzip":                                  -8.691315,
+		"h":                                     -7.592702,
+		"handler":                               -7.305020,
+		"has":                                   -8.691315,
+		"has_app_changes":                       -8.691315,
+		"hash":                                  -7.305020,
+		"hasher":                                -7.998167,
+		"have":                                  -8.691315,
+		"head":                                  -7.081877,
+		"head_prefix":                           -7.998167,
+		"head_prefix.directory":                 -8.691315,
+		"header":                                -8.691315,
+		"helpers":                               -7.592702,
+		"helvetica":                             -8.691315,
+		"hockey":                                -7.592702,
+		"homepage":                              -7.998167,
+		"host":                                  -7.592702,
+		"html":                                  -8.691315,
+		"http":                                  -8.691315,
+		"https":                                 -7.998167,
+		"i":                                     -7.998167,
+		"id":                                    -8.691315,
+		"id=":                                   -8.691315,
+		"if":                                    -4.548180,
+		"in":                                    -7.592702,
+		"include":                               -7.592702,
+		"incomplete":                            -8.691315,
+		"increment_build_number":                -8.691315,
+		"inflections.uncountables.include":      -8.691315,
+		"info":                                  -7.998167,
+		"inhibit_all_warnings":                  -8.691315,
+		"initialize":                            -7.592702,
+		"inline":                                -7.592702,
+		"inspect":                               -8.691315,
+		"install_type":                          -7.305020,
+		"installed_prefix":                      -8.691315,
+		"instance":                              -7.998167,
+		"instance_eval":                         -7.592702,
+		"instance_variable_defined":             -7.998167,
+		"instance_variable_get":                 -7.998167,
+		"instance_variable_set":                 -8.691315,
+		"integrity":                             -8.691315,
+		"internal":                              -8.691315,
+		"invalid":                               -8.691315,
+		"ios":                                   -7.592702,
+		"ipa":                                   -7.592702,
+		"is":                                    -7.998167,
+		"it":                                    -6.899555,
+		"item":                                  -7.305020,
+		"javascript":                            -8.691315,
+		"jruby":                                 -8.691315,
+		"js_file":                               -8.691315,
+		"json":                                  -7.998167,
+		"json.array":                            -8.691315,
+		"json.extract":                          -8.691315,
+		"json.url":                              -8.691315,
+		"junit.headers":                         -8.691315,
+		"junit.report":                          -8.691315,
+		"just":                                  -8.691315,
+		"k":                                     -7.998167,
+		"keep_open":                             -7.998167,
+		"keg_only":                              -7.998167,
+		"keg_only_reason":                       -8.691315,
+		"key":                                   -7.081877,
+		"keys":                                  -7.081877,
+		"keywords":                              -8.691315,
+		"kind_of":                               -8.691315,
+		"klass":                                 -7.592702,
+		"klass.new":                             -7.998167,
+		"klass_name":                            -7.592702,
+		"know":                                  -8.691315,
+		"lambda":                                -8.691315,
+		"lane":                                  -6.745404,
+		"languages":                             -8.691315,
+		"last":                                  -7.592702,
+		"later":                                 -8.691315,
+		"layout":                                -8.691315,
+		"left":                                  -8.691315,
+		"lib":                                   -8.691315,
+		"lib_directory":                         -7.998167,
+		"libexec":                               -8.691315,
+		"like":                                  -8.691315,
+		"linguist":                              -7.305020,
+		"linked_keg":                            -8.691315,
+		"lion":                                  -8.691315,
+		"list_range":                            -8.691315,
+		"load":                                  -7.592702,
+		"location":                              -8.691315,
+		"lock":                                  -8.691315,
+		"logging":                               -7.998167,
+		"m":                                     -7.592702,
+		"m.public_instance_methods":             -8.691315,
+		"macruby":                               -8.691315,
+		"make":                                  -8.691315,
+		"man":                                   -5.858101,
+		"map":                                   -8.691315,
+		"margin":                                -7.998167,
+		"match":                                 -7.081877,
+		"max_age":                               -7.998167,
+		"may":                                   -8.691315,
+		"md":                                    -8.691315,
+		"md5":                                   -7.998167,
+		"means":                                 -8.691315,
+		"message":                               -7.998167,
+		"metaclass":                             -7.998167,
+		"method":                                -7.998167,
+		"method_added":                          -8.691315,
+		"method_name":                           -7.081877,
+		"method_override":                       -7.305020,
+		"method_source":                         -7.998167,
+		"methodoverride":                        -7.998167,
+		"methods":                               -8.691315,
+		"methods.each":                          -8.691315,
+		"mime":                                  -7.998167,
+		"mime_type":                             -8.691315,
+		"min_stale":                             -8.691315,
+		"mirror":                                -8.691315,
+		"mirror_list":                           -8.691315,
+		"mirror_list.empty":                     -8.691315,
+		"mirror_list.shift.values_at":           -8.691315,
+		"mirrors":                               -6.745404,
+		"mismatch":                              -8.691315,
+		"missing":                               -8.691315,
+		"mktemp":                                -8.691315,
+		"mocha":                                 -7.998167,
+		"module":                                -6.611873,
+		"must":                                  -7.998167,
+		"must_revalidate":                       -7.998167,
+		"n":                                     -7.081877,
+		"n.count":                               -8.691315,
+		"n.id":                                  -8.691315,
+		"n.to_f":                                -8.691315,
+		"n.to_i":                                -8.691315,
+		"name":                                  -4.820114,
+		"name.basename":                         -8.691315,
+		"name.capitalize.gsub":                  -8.691315,
+		"name.include":                          -8.691315,
+		"name.kind_of":                          -8.691315,
+		"name.to_s":                             -7.998167,
+		"name_r":                                -8.691315,
+		"names":                                 -8.691315,
+		"names.each":                            -8.691315,
+		"namespace":                             -7.592702,
+		"nend":                                  -8.691315,
+		"new":                                   -7.081877,
+		"next":                                  -8.691315,
+		"next_token":                            -8.691315,
+		"nil":                                   -4.180455,
+		"no_cache":                              -8.691315,
+		"no_store":                              -8.691315,
+		"node":                                  -7.998167,
+		"node_numbers":                          -8.691315,
+		"nodes":                                 -8.691315,
+		"nodoc":                                 -7.592702,
+		"non":                                   -7.998167,
+		"not":                                   -7.305020,
+		"not_found":                             -8.691315,
+		"notes":                                 -7.305020,
+		"notify":                                -7.592702,
+		"number":                                -7.592702,
+		"number.to_i.abs":                       -7.998167,
+		"object":                                -7.998167,
+		"of":                                    -7.592702,
+		"ohai":                                  -7.998167,
+		"only":                                  -8.691315,
+		"onoe":                                  -8.691315,
+		"open":                                  -7.592702,
+		"opoo":                                  -8.691315,
+		"options":                               -8.691315,
+		"or":                                    -6.745404,
+		"ordinal":                               -8.691315,
+		"ordinalize":                            -8.691315,
+		"out":                                   -7.592702,
+		"output":                                -7.998167,
+		"output.puts":                           -8.691315,
+		"override":                              -7.305020,
+		"p":                                     -6.899555,
+		"p.to_s":                                -7.998167,
+		"package":                               -8.691315,
+		"parameter":                             -8.691315,
+		"params":                                -7.998167,
+		"parse":                                 -8.691315,
+		"part":                                  -8.691315,
+		"part.empty":                            -8.691315,
+		"partial":                               -8.691315,
+		"parts":                                 -7.998167,
+		"parts.pop":                             -8.691315,
+		"parts.reverse.inject":                  -8.691315,
+		"passed":                                -8.691315,
+		"patch":                                 -7.305020,
+		"patch_args":                            -8.691315,
+		"patch_list":                            -7.081877,
+		"patches":                               -7.998167,
+		"path":                                  -5.858101,
+		"path.keys":                             -8.691315,
+		"path.names":                            -8.691315,
+		"path.nil":                              -8.691315,
+		"path.realpath.to_s":                    -8.691315,
+		"path.relative_path_from":               -8.691315,
+		"path.respond_to":                       -7.081877,
+		"path.rindex":                           -7.998167,
+		"path.stem":                             -8.691315,
+		"path.to_s":                             -7.592702,
+		"paths":                                 -7.592702,
+		"pattern":                               -8.691315,
+		"pcase":                                 -8.691315,
+		"peek":                                  -8.691315,
+		"pending":                               -8.691315,
+		"person":                                -8.691315,
+		"phone_number":                          -8.691315,
+		"phone_numbers":                         -8.691315,
+		"platform":                              -7.998167,
+		"plist":                                 -7.998167,
+		"plist_version":                         -8.691315,
+		"plugin":                                -7.592702,
+		"plugin.description":                    -8.691315,
+		"plugin.display_name":                   -8.691315,
+		"plugin.name":                           -8.691315,
+		"plugin.version":                        -8.691315,
+		"pluralize":                             -8.691315,
+		"png":                                   -7.998167,
+		"pnumbers":                              -8.691315,
+		"pod":                                   -6.745404,
+		"policy":                                -8.691315,
+		"pop":                                   -8.691315,
+		"port":                                  -7.305020,
+		"port_lview":                            -7.998167,
+		"port_pview":                            -7.998167,
+		"possible_alias.file":                   -8.691315,
+		"possible_alias.realpath.basename":      -8.691315,
+		"possible_cached_formula.file":          -8.691315,
+		"possible_cached_formula.to_s":          -8.691315,
+		"post":                                  -8.691315,
+		"preferred_type":                        -8.691315,
+		"prefix":                                -6.126365,
+		"prefix.parent":                         -8.691315,
+		"prefixed_redirects":                    -8.691315,
+		"price_tier":                            -8.691315,
+		"primary_category":                      -8.691315,
+		"private":                               -7.305020,
+		"proc":                                  -7.998167,
+		"processed":                             -7.998167,
+		"production":                            -8.691315,
+		"prompt":                                -8.691315,
+		"protected":                             -8.691315,
+		"protection":                            -8.691315,
+		"provide":                               -8.691315,
+		"proxy_revalidate":                      -8.691315,
+		"pry":                                   -7.998167,
+		"public":                                -7.592702,
+		"public_folder":                         -7.592702,
+		"push":                                  -8.691315,
+		"push_to_git_remote":                    -8.691315,
+		"put":                                   -8.691315,
+		"puts":                                  -6.388729,
+		"pwd":                                   -8.691315,
+		"px":                                    -7.592702,
+		"queue":                                 -5.858101,
+		"queue.to_s":                            -8.691315,
+		"queues":                                -7.592702,
+		"queues.inject":                         -8.691315,
+		"queues.size":                           -8.691315,
+		"r":                                     -7.998167,
+		"racc_action_check":                     -7.998167,
+		"racc_action_default":                   -7.998167,
+		"racc_action_pointer":                   -7.998167,
+		"racc_action_table":                     -7.998167,
+		"racc_error":                            -8.691315,
+		"racc_goto_check":                       -7.998167,
+		"racc_goto_default":                     -7.998167,
+		"racc_goto_pointer":                     -7.998167,
+		"racc_goto_table":                       -7.998167,
+		"racc_nt_base":                          -7.998167,
+		"racc_reduce_n":                         -7.998167,
+		"racc_reduce_table":                     -7.998167,
+		"racc_shift_n":                          -7.998167,
+		"racc_token_table":                      -7.998167,
+		"racc_use_result_var":                   -7.998167,
+		"rack":                                  -8.691315,
+		"raise":                                 -6.052257,
+		"raise_error":                           -8.691315,
+		"raise_errors":                          -8.691315,
+		"rake":                                  -7.592702,
+		"ratings_config_path":                   -8.691315,
+		"rbx":                                   -8.691315,
+		"reason":                                -7.998167,
+		"recursive_deps":                        -8.691315,
+		"redis":                                 -6.899555,
+		"redis.client.id":                       -8.691315,
+		"redis.lindex":                          -8.691315,
+		"redis.lrange":                          -8.691315,
+		"redis.nodes.map":                       -8.691315,
+		"redis.respond_to":                      -7.998167,
+		"redis.server":                          -8.691315,
+		"redis.smembers":                        -8.691315,
+		"redis_id":                              -7.998167,
+		"reference":                             -8.691315,
+		"region":                                -8.691315,
+		"region_r":                              -8.691315,
+		"registered_at":                         -8.691315,
+		"release":                               -8.691315,
+		"relevant":                              -8.691315,
+		"reload_templates":                      -8.691315,
+		"remote":                                -7.998167,
+		"remove":                                -8.691315,
+		"remove_queue":                          -8.691315,
+		"replacement":                           -7.998167,
+		"report":                                -7.998167,
+		"reports":                               -8.691315,
+		"request":                               -8.691315,
+		"request.env":                           -7.998167,
+		"request.finish":                        -8.691315,
+		"request.in":                            -8.691315,
+		"request.out":                           -8.691315,
+		"request.request_method.downcase":       -8.691315,
+		"require":                               -4.414648,
+		"require_all":                           -7.305020,
+		"rescue":                                -6.293419,
+		"reset":                                 -8.691315,
+		"respond_to":                            -8.691315,
+		"response":                              -7.998167,
+		"response.status":                       -8.691315,
+		"resque":                                -7.998167,
+		"restart_service":                       -8.691315,
+		"result":                                -5.359110,
+		"result.downcase":                       -8.691315,
+		"result.sub":                            -8.691315,
+		"retry":                                 -7.998167,
+		"return":                                -5.600272,
+		"role":                                  -8.691315,
+		"root":                                  -7.081877,
+		"rsquo":                                 -8.691315,
+		"ruby":                                  -6.745404,
+		"ruby_engine":                           -6.899555,
+		"ruby_engine.nil":                       -8.691315,
+		"rugged":                                -7.998167,
+		"rule":                                  -7.998167,
+		"rules":                                 -8.691315,
+		"rules.each":                            -8.691315,
+		"run":                                   -7.998167,
+		"running":                               -8.691315,
+		"rv":                                    -7.592702,
+		"s":                                     -7.998167,
+		"s_max_age":                             -8.691315,
+		"safe_system":                           -7.592702,
+		"sbin":                                  -8.691315,
+		"schedule":                              -8.691315,
+		"scheduler":                             -7.592702,
+		"scheme":                                -7.305020,
+		"screenshots_path":                      -8.691315,
+		"secondary_category":                    -8.691315,
+		"self":                                  -5.918726,
+		"self.all":                              -8.691315,
+		"self.class.cc_failures.find":           -8.691315,
+		"self.class.cc_failures.nil":            -8.691315,
+		"self.class.dependencies.deps":          -8.691315,
+		"self.class.dependencies.external_deps": -8.691315,
+		"self.class.keg_only_reason":            -8.691315,
+		"self.class.mirrors":                    -8.691315,
+		"self.class.path":                       -8.691315,
+		"self.class.skip_clean_all":             -8.691315,
+		"self.class.skip_clean_paths.include":   -8.691315,
+		"self.class_s":                          -7.998167,
+		"self.configuration":                    -8.691315,
+		"self.delegate":                         -8.691315,
+		"self.each":                             -8.691315,
+		"self.expand_deps":                      -8.691315,
+		"self.factory":                          -8.691315,
+		"self.helpers":                          -8.691315,
+		"self.map":                              -8.691315,
+		"self.names":                            -8.691315,
+		"self.path":                             -8.691315,
+		"self.redis":                            -7.998167,
+		"self.register":                         -8.691315,
+		"self.require_all_gems":                 -8.691315,
+		"self.require_gem":                      -8.691315,
+		"self.target":                           -8.691315,
+		"self.use":                              -8.691315,
+		"send":                                  -8.691315,
+		"send_file":                             -8.691315,
+		"sending":                               -8.691315,
+		"serialized":                            -7.998167,
+		"serialized.should":                     -7.998167,
+		"server":                                -6.611873,
+		"server.split":                          -7.998167,
+		"server.unshift":                        -6.899555,
+		"servers":                               -7.998167,
+		"session_secret":                        -7.592702,
+		"sessions":                              -8.691315,
+		"set":                                   -5.107796,
+		"set_instance_variable":                 -6.206408,
+		"settings":                              -7.998167,
+		"settings.add_charset":                  -8.691315,
+		"sha1":                                  -6.206408,
+		"sha256":                                -8.691315,
+		"share":                                 -8.691315,
+		"shift":                                 -8.691315,
+		"should":                                -8.691315,
+		"show_exceptions":                       -8.691315,
+		"sig":                                   -7.998167,
+		"sigh":                                  -7.592702,
+		"singularize":                           -8.691315,
+		"size":                                  -7.592702,
+		"skip_clean":                            -7.998167,
+		"skip_clean_all":                        -7.998167,
+		"skip_clean_paths":                      -8.691315,
+		"skip_deploy":                           -7.592702,
+		"slop":                                  -7.998167,
+		"source":                                -7.592702,
+		"specified":                             -7.998167,
+		"specifies":                             -8.691315,
+		"specs":                                 -5.983264,
+		"specs=":                                -7.592702,
+		"src=":                                  -8.691315,
+		"srv_str":                               -7.305020,
+		"stable":                                -7.998167,
+		"stack":                                 -7.998167,
+		"stage":                                 -7.592702,
+		"standard":                              -7.592702,
+		"start":                                 -6.745404,
+		"static":                                -8.691315,
+		"static_cache_control":                  -8.691315,
+		"status":                                -7.305020,
+		"std_cmake_args":                        -8.691315,
+		"stderr.puts":                           -7.998167,
+		"stdin":                                 -8.691315,
+		"stdout":                                -8.691315,
+		"stdout.puts":                           -8.691315,
+		"sticky":                                -8.691315,
+		"store":                                 -8.691315,
+		"stream":                                -8.691315,
+		"super":                                 -7.305020,
+		"supplied":                              -7.081877,
+		"supplied.empty":                        -8.691315,
+		"t":                                     -7.592702,
+		"table_name":                            -8.691315,
+		"table_name.to_s.sub":                   -8.691315,
+		"tableize":                              -8.691315,
+		"tag":                                   -8.691315,
+		"tap":                                   -7.592702,
+		"target":                                -7.998167,
+		"target_file":                           -6.899555,
+		"task":                                  -7.998167,
+		"template":                              -8.691315,
+		"test":                                  -6.745404,
+		"test_disabled":                         -7.998167,
+		"text":                                  -7.592702,
+		"the":                                   -6.388729,
+		"then":                                  -7.592702,
+		"there":                                 -8.691315,
+		"this":                                  -7.998167,
+		"though":                                -8.691315,
+		"thread_safe":                           -8.691315,
+		"threaded":                              -8.691315,
+		"title":                                 -8.691315,
+		"to":                                    -7.998167,
+		"to_check":                              -7.998167,
+		"to_s":                                  -7.592702,
+		"tokenizer":                             -7.998167,
+		"true":                                  -5.513261,
+		"type":                                  -6.126365,
+		"type.to_s.upcase":                      -8.691315,
+		"type=":                                 -8.691315,
+		"types":                                 -7.998167,
+		"u":                                     -8.691315,
+		"u.phone_numbers":                       -8.691315,
+		"underscore":                            -8.691315,
+		"underscored_word":                      -8.691315,
+		"underscored_word.tr":                   -8.691315,
+		"uninitialized":                         -8.691315,
+		"unless":                                -6.126365,
+		"unstable":                              -7.592702,
+		"upcase":                                -7.998167,
+		"uri_pathquery":                         -7.998167,
+		"url":                                   -5.695582,
+		"url=":                                  -8.691315,
+		"use":                                   -8.691315,
+		"use_code":                              -8.691315,
+		"user":                                  -7.998167,
+		"user.is_admin":                         -8.691315,
+		"username":                              -8.691315,
+		"usr":                                   -7.592702,
+		"v":                                     -7.592702,
+		"val":                                   -5.433218,
+		"val=":                                  -7.998167,
+		"validate_variable":                     -6.745404,
+		"value":                                 -6.899555,
+		"value.should":                          -7.998167,
+		"verify":                                -8.691315,
+		"verify_download_integrity":             -7.998167,
+		"version":                               -5.918726,
+		"views":                                 -8.691315,
+		"void":                                  -8.691315,
+		"w":                                     -6.899555,
+		"web":                                   -7.305020,
+		"webrick":                               -8.691315,
+		"website":                               -8.691315,
+		"when":                                  -6.494090,
+		"whether":                               -8.691315,
+		"width":                                 -8.691315,
+		"with":                                  -7.998167,
+		"with_params":                           -8.691315,
+		"word":                                  -8.691315,
+		"word.empty":                            -8.691315,
+		"word.to_s.dup":                         -8.691315,
+		"workers":                               -8.691315,
+		"workers.size.to_i":                     -8.691315,
+		"working":                               -7.998167,
+		"working.size":                          -8.691315,
+		"wrong":                                 -8.691315,
+		"xcodeproj":                             -8.691315,
+		"xctest":                                -8.691315,
+		"xhtml":                                 -8.691315,
+		"xml":                                   -7.998167,
+		"yajl":                                  -7.998167,
+		"yet":                                   -8.691315,
+		"yield":                                 -6.899555,
+		"your":                                  -8.691315,
+		"zA":                                    -8.691315,
+		"zero":                                  -8.691315,
+		"{":                                     -4.702331,
+		"|":                                     -4.360581,
+		"||":                                    -5.746876,
+		"}":                                     -4.683981,
+		"~":                                     -6.052257,
 	},
 	"Rust": map[string]float64{
 		"!":                                  -5.266569,
@@ -141661,404 +146656,764 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                    -2.788093,
 	},
 	"SQL": map[string]float64{
-		"!":                             -10.016593,
-		"$":                             -8.224834,
-		"%":                             -10.016593,
-		"'":                             -9.323446,
-		"(":                             -2.355537,
-		")":                             -2.356008,
-		"*":                             -8.407155,
-		",":                             -0.303177,
-		"-":                             -5.956150,
-		":":                             -5.889459,
-		";":                             -5.739927,
-		"</a>":                          -7.618698,
-		"</p>":                          -7.618698,
-		"</pre>":                        -10.016593,
-		"</strong>":                     -9.323446,
-		"<a>":                           -7.618698,
-		"<br>":                          -6.065349,
-		"<p>":                           -7.618698,
-		"<pre>":                         -10.016593,
-		"<strong>":                      -9.323446,
-		"<varchar>":                     -9.323446,
-		"=":                             -8.070683,
-		"@@global.debug":                -10.016593,
-		"@@global.max_connect_errors":   -10.016593,
-		"@@global.max_connections":      -10.016593,
-		"@@global.max_user_connections": -10.016593,
-		"ALL":                           -9.323446,
-		"AND":                           -10.016593,
-		"AS":                            -9.323446,
-		"ASC":                           -8.224834,
-		"ATOLL":                         -10.016593,
-		"AUTO_INCREMENT":                -8.917981,
-		"AvailableInSearchSel":          -10.016593,
-		"BEGIN":                         -10.016593,
-		"BJ":                            -10.016593,
-		"BY":                            -8.070683,
-		"Bj/JtLJJR":                     -10.016593,
-		"BxCHeVG":                       -10.016593,
-		"CLUSTERING":                    -8.224834,
-		"CONNECT":                       -10.016593,
-		"CRAMER":                        -10.016593,
-		"CREATE":                        -6.649297,
-		"Class":                         -10.016593,
-		"DATE":                          -8.917981,
-		"DBO.SYSOBJECTS":                -10.016593,
-		"DB_NAME":                       -10.016593,
-		"DEFAULT":                       -7.244004,
-		"DESC":                          -8.224834,
-		"DMS":                           -10.016593,
-		"DROP":                          -8.917981,
-		"DUAL":                          -10.016593,
-		"DuRVo":                         -10.016593,
-		"END":                           -10.016593,
-		"EXECUTE":                       -10.016593,
-		"EXISTS":                        -8.224834,
-		"FILIAL":                        -7.714008,
-		"FROM":                          -9.323446,
-		"GIS":                           -9.323446,
-		"GO":                            -8.630299,
-		"GRANT":                         -8.224834,
-		"Good":                          -10.016593,
-		"HPSM":                          -10.016593,
-		"He":                            -10.016593,
-		"Host":                          -8.630299,
-		"I.":                            -10.016593,
-		"ID":                            -9.323446,
-		"IDENTIFIED":                    -10.016593,
-		"IF":                            -8.070683,
-		"INSERT":                        -8.917981,
-		"INTO":                          -8.917981,
-		"Izon":                          -9.323446,
-		"KEY":                           -7.072154,
-		"KEYSPACE":                      -9.323446,
-		"Luck":                          -10.016593,
-		"Mplanas":                       -10.016593,
-		"N":                             -8.070683,
-		"NOT":                           -7.183380,
-		"NULL":                          -5.873458,
-		"NUMBER":                        -9.323446,
-		"Network":                       -10.016593,
-		"OBJECTPROPERTY":                -10.016593,
-		"OBJECT_ID":                     -10.016593,
-		"ON":                            -10.016593,
-		"ORDER":                         -8.224834,
-		"P":                             -8.917981,
-		"P.":                            -10.016593,
-		"PH":                            -10.016593,
-		"PK_ID":                         -10.016593,
-		"PLANMONITOR":                   -10.016593,
-		"PRIMARY":                       -7.072154,
-		"PROCEDURE":                     -9.323446,
-		"Private":                       -10.016593,
-		"Procedure":                     -10.016593,
-		"REPLICATION":                   -9.323446,
-		"RESOURCE":                      -10.016593,
-		"RMF":                           -10.016593,
-		"SELECT":                        -8.407155,
-		"SHOW":                          -9.323446,
-		"SIEBEL":                        -10.016593,
-		"Sample":                        -10.016593,
-		"TABLE":                         -6.881099,
-		"TIME":                          -10.016593,
-		"TIMESTAMP":                     -10.016593,
-		"TO":                            -8.224834,
-		"TYPE":                          -10.016593,
-		"The":                           -10.016593,
-		"UNION":                         -9.323446,
-		"USER":                          -10.016593,
-		"Use":                           -10.016593,
-		"User":                          -8.630299,
-		"VALUES":                        -8.917981,
-		"VARCHAR":                       -8.407155,
-		"VBIS":                          -10.016593,
-		"VIEW":                          -9.323446,
-		"VPORTAL":                       -10.016593,
-		"Vasileios":                     -10.016593,
-		"Virtual":                       -10.016593,
-		"W":                             -10.016593,
-		"WARNINGS":                      -9.323446,
-		"WHERE":                         -10.016593,
-		"WITH":                          -7.819368,
-		"WXL":                           -10.016593,
-		"When":                          -10.016593,
-		"You":                           -10.016593,
-		"ZONE":                          -10.016593,
-		"[":                             -10.016593,
-		"\\":                            -6.925551,
-		"]":                             -10.016593,
-		"`":                             -4.941419,
-		"a":                             -8.630299,
-		"able":                          -10.016593,
-		"abstract":                      -9.323446,
-		"access":                        -9.323446,
-		"add":                           -10.016593,
-		"afraid.":                       -10.016593,
-		"along.":                        -10.016593,
-		"also":                          -10.016593,
-		"alter":                         -10.016593,
-		"and":                           -8.630299,
-		"any":                           -10.016593,
-		"are":                           -10.016593,
-		"articles":                      -9.323446,
-		"as":                            -9.323446,
-		"author":                        -9.323446,
-		"availability":                  -9.323446,
-		"bUD":                           -10.016593,
-		"bar":                           -9.323446,
-		"bar@owasp.com":                 -10.016593,
-		"basic":                         -10.016593,
-		"be":                            -9.323446,
-		"behave":                        -10.016593,
-		"bigint":                        -9.323446,
-		"body":                          -10.016593,
-		"brilliant":                     -10.016593,
-		"but":                           -10.016593,
-		"cascade":                       -10.016593,
-		"category":                      -9.323446,
-		"challenge.":                    -10.016593,
-		"challenge_id":                  -10.016593,
-		"challenges":                    -9.323446,
-		"city":                          -10.016593,
-		"col":                           -8.630299,
-		"come":                          -10.016593,
-		"command":                       -10.016593,
-		"comment":                       -8.630299,
-		"comment_ts":                    -7.531686,
-		"comments_by_user":              -9.323446,
-		"comments_by_video":             -9.323446,
-		"common":                        -10.016593,
-		"complete":                      -10.016593,
-		"constraint":                    -10.016593,
-		"content":                       -9.323446,
-		"counter":                       -8.630299,
-		"country":                       -10.016593,
-		"create":                        -9.323446,
-		"created_by":                    -9.323446,
-		"created_date":                  -9.323446,
-		"credit_change_date":            -9.323446,
-		"date_posted":                   -8.630299,
-		"datediff":                      -10.016593,
-		"datetime":                      -8.630299,
-		"dbo.AvailableInSearchSel":      -9.323446,
-		"default_duration":              -9.323446,
-		"default_points":                -9.323446,
-		"description":                   -8.630299,
-		"dq":                            -10.016593,
-		"drop":                          -7.714008,
-		"dst":                           -10.016593,
-		"dual":                          -10.016593,
-		"dumb":                          -10.016593,
-		"duration":                      -9.323446,
-		"each":                          -10.016593,
-		"either":                        -10.016593,
-		"email":                         -8.917981,
-		"event":                         -8.224834,
-		"event_timestamp":               -8.224834,
-		"exec":                          -10.016593,
-		"exists":                        -10.016593,
-		"exploited":                     -10.016593,
-		"firstname":                     -9.323446,
-		"flush":                         -8.630299,
-		"foo":                           -9.323446,
-		"foo@owasp.com":                 -10.016593,
-		"fooClass":                      -10.016593,
-		"four":                          -10.016593,
-		"friend":                        -10.016593,
-		"from":                          -8.070683,
-		"function":                      -10.016593,
-		"functionname":                  -10.016593,
-		"gain":                          -10.016593,
-		"get":                           -8.917981,
-		"go":                            -10.016593,
-		"goal":                          -9.323446,
-		"grant":                         -7.937151,
-		"hacking":                       -10.016593,
-		"have":                          -10.016593,
-		"he":                            -9.323446,
-		"hides":                         -10.016593,
-		"higher":                        -10.016593,
-		"his":                           -10.016593,
-		"holes":                         -10.016593,
-		"host":                          -10.016593,
-		"hosts":                         -10.016593,
-		"href=":                         -7.618698,
-		"id":                            -7.937151,
-		"if":                            -9.323446,
-		"important":                     -10.016593,
-		"in":                            -8.407155,
-		"infiltrate":                    -10.016593,
-		"information":                   -10.016593,
-		"int":                           -7.618698,
-		"is":                            -9.323446,
-		"is_published":                  -9.323446,
-		"key":                           -10.016593,
-		"knowledge":                     -10.016593,
-		"last":                          -10.016593,
-		"last_modified":                 -9.323446,
-		"last_modified_by":              -9.323446,
-		"lastname":                      -9.323446,
-		"latitude":                      -10.016593,
-		"left":                          -10.016593,
-		"level":                         -9.323446,
-		"like":                          -8.630299,
-		"linguist_package":              -9.323446,
-		"list":                          -9.323446,
-		"ll":                            -10.016593,
-		"longitude":                     -10.016593,
-		"longtext":                      -10.016593,
-		"m":                             -10.016593,
-		"minutes":                       -9.323446,
-		"modify_date":                   -10.016593,
-		"modify_user":                   -10.016593,
-		"most":                          -8.917981,
-		"mr.":                           -9.323446,
-		"mysql.":                        -8.630299,
-		"n":                             -9.323446,
-		"nI":                            -9.323446,
-		"nSixty":                        -10.016593,
-		"nThere":                        -10.016593,
-		"nYou":                          -10.016593,
-		"nYour":                         -10.016593,
-		"name":                          -10.016593,
-		"ncover":                        -10.016593,
-		"need":                          -10.016593,
-		"nmany":                         -10.016593,
-		"not":                           -8.407155,
-		"not.":                          -10.016593,
-		"now":                           -10.016593,
-		"null":                          -8.630299,
-		"object_ddl":                    -10.016593,
-		"obstacles":                     -10.016593,
-		"obvious":                       -10.016593,
-		"of":                            -10.016593,
-		"offer":                         -10.016593,
-		"on":                            -7.937151,
-		"one":                           -10.016593,
-		"or":                            -10.016593,
-		"oracle":                        -10.016593,
-		"order":                         -9.323446,
-		"ordering":                      -9.323446,
-		"other":                         -10.016593,
-		"out.":                          -10.016593,
-		"overcome":                      -10.016593,
-		"package":                       -9.323446,
-		"pass":                          -10.016593,
-		"password":                      -8.917981,
-		"pkg_name":                      -9.323446,
-		"place":                         -10.016593,
-		"primary":                       -10.016593,
-		"privileges":                    -10.016593,
-		"procedure":                     -10.016593,
-		"programmer":                    -10.016593,
-		"public":                        -9.323446,
-		"publish":                       -9.323446,
-		"r":                             -7.714008,
-		"rating_counter":                -9.323446,
-		"rating_total":                  -9.323446,
-		"real":                          -10.016593,
-		"related":                       -10.016593,
-		"remember":                      -10.016593,
-		"remove_date":                   -10.016593,
-		"role":                          -10.016593,
-		"rv":                            -10.016593,
-		"s":                             -8.917981,
-		"said":                          -10.016593,
-		"select":                        -7.072154,
-		"sense":                         -10.016593,
-		"sensei":                        -10.016593,
-		"series":                        -10.016593,
-		"sifu":                          -10.016593,
-		"simple":                        -10.016593,
-		"site.":                         -8.917981,
-		"sixty":                         -10.016593,
-		"skills":                        -10.016593,
-		"solution":                      -9.323446,
-		"some":                          -9.323446,
-		"sql":                           -10.016593,
-		"state":                         -10.016593,
-		"status":                        -10.016593,
-		"stuff":                         -10.016593,
-		"suffice.":                      -10.016593,
-		"suspended":                     -10.016593,
-		"suspendedtoday":                -9.323446,
-		"sysobjects":                    -10.016593,
-		"system.":                       -10.016593,
-		"t":                             -9.323446,
-		"tXZYqS/Lokm":                   -10.016593,
-		"table":                         -8.630299,
-		"tag":                           -8.630299,
-		"tag_index":                     -9.323446,
-		"tags":                          -9.323446,
-		"target=":                       -7.618698,
-		"task":                          -10.016593,
-		"tasks":                         -10.016593,
-		"tell":                          -10.016593,
-		"tests":                         -10.016593,
-		"text":                          -10.016593,
-		"that":                          -9.323446,
-		"the":                           -8.407155,
-		"their":                         -10.016593,
-		"they":                          -10.016593,
-		"this":                          -9.323446,
-		"through":                       -10.016593,
-		"timestamp":                     -7.377536,
-		"timeuuid":                      -8.630299,
-		"timezone":                      -10.016593,
-		"title":                         -8.630299,
-		"title_eng":                     -10.016593,
-		"title_ru":                      -10.016593,
-		"title_ua":                      -10.016593,
-		"to":                            -6.972071,
-		"token":                         -10.016593,
-		"total_credits":                 -9.323446,
-		"translog":                      -10.016593,
-		"try":                           -10.016593,
-		"type":                          -8.917981,
-		"typename":                      -9.323446,
-		"upload_date":                   -8.630299,
-		"use":                           -8.630299,
-		"user":                          -8.070683,
-		"user_has_challenge_token":      -9.323446,
-		"user_id":                       -10.016593,
-		"user_resources":                -10.016593,
-		"username":                      -6.615396,
-		"username_video_index":          -9.323446,
-		"users":                         -9.323446,
-		"uuid":                          -7.377536,
-		"varchar":                       -6.104570,
-		"video_event":                   -9.323446,
-		"video_rating":                  -9.323446,
-		"video_timestamp":               -9.323446,
-		"videodb":                       -8.630299,
-		"videoid":                       -6.615396,
-		"videoname":                     -8.630299,
-		"videos":                        -9.323446,
-		"view":                          -8.917981,
-		"viewname":                      -9.323446,
-		"visibility":                    -9.323446,
-		"wants":                         -10.016593,
-		"was":                           -10.016593,
-		"waspy":                         -10.016593,
-		"waspy@owasp.sifu":              -10.016593,
-		"web":                           -10.016593,
-		"were":                          -10.016593,
-		"where":                         -8.224834,
-		"who_called_me":                 -10.016593,
-		"will":                          -9.323446,
-		"x":                             -9.323446,
-		"xwRbrVQtPA":                    -10.016593,
-		"y":                             -9.323446,
-		"yOwAq.":                        -10.016593,
-		"you":                           -8.407155,
-		"your":                          -9.323446,
-		"zFMwcxO":                       -10.016593,
-		"zip":                           -10.016593,
-		"zipcodes":                      -10.016593,
-		"{":                             -9.323446,
-		"}":                             -9.323446,
+		"!":                             -9.117421,
+		"$":                             -8.136591,
+		"%":                             -10.216033,
+		"'":                             -9.522886,
+		"(":                             -2.408523,
+		")":                             -2.408930,
+		"*":                             -8.606595,
+		",":                             -0.479663,
+		"-":                             -6.155590,
+		":":                             -6.072898,
+		";":                             -4.722972,
+		"</a>":                          -7.818138,
+		"</p>":                          -7.818138,
+		"</pre>":                        -10.216033,
+		"</strong>":                     -9.522886,
+		"<a>":                           -7.818138,
+		"<br>":                          -6.264789,
+		"<p>":                           -7.818138,
+		"<pre>":                         -10.216033,
+		"<strong>":                      -9.522886,
+		"<varchar>":                     -9.522886,
+		"=":                             -5.023076,
+		">":                             -9.117421,
+		"@@FOREIGN_KEY_CHECKS":          -10.216033,
+		"@@SQL_MODE":                    -10.216033,
+		"@@UNIQUE_CHECKS":               -10.216033,
+		"@@global.debug":                -10.216033,
+		"@@global.max_connect_errors":   -10.216033,
+		"@@global.max_connections":      -10.216033,
+		"@@global.max_user_connections": -10.216033,
+		"@OLD_FOREIGN_KEY_CHECKS":       -9.522886,
+		"@OLD_SQL_MODE":                 -9.522886,
+		"@OLD_UNIQUE_CHECKS":            -9.522886,
+		"ACTION":                        -7.731126,
+		"AFTER":                         -6.719525,
+		"ALL":                           -9.522886,
+		"AND":                           -8.606595,
+		"AS":                            -5.428541,
+		"ASC":                           -8.424273,
+		"ATOLL":                         -10.216033,
+		"AUTO_INCREMENT":                -7.443444,
+		"AvailableInSearchSel":          -10.216033,
+		"BEGIN":                         -6.660685,
+		"BETWEEN":                       -10.216033,
+		"BINARY":                        -10.216033,
+		"BJ":                            -10.216033,
+		"BLOB":                          -9.117421,
+		"BOOLEAN":                       -9.522886,
+		"BY":                            -7.271594,
+		"Bj/JtLJJR":                     -10.216033,
+		"BxCHeVG":                       -10.216033,
+		"CASCADE":                       -6.660685,
+		"CHAR":                          -9.117421,
+		"CHARSET":                       -7.443444,
+		"CHECK":                         -9.522886,
+		"CHECK_special_features":        -10.216033,
+		"CHECK_special_rating":          -10.216033,
+		"CLUSTERING":                    -8.424273,
+		"COMMENT":                       -10.216033,
+		"CONCAT":                        -7.913448,
+		"CONNECT":                       -10.216033,
+		"CONSTRAINT":                    -6.387392,
+		"COUNT":                         -9.117421,
+		"CRAMER":                        -10.216033,
+		"CREATE":                        -5.325684,
+		"CURRENT_DATE":                  -10.216033,
+		"CURRENT_TIMESTAMP":             -6.814836,
+		"CURRENT_USER":                  -10.216033,
+		"Class":                         -10.216033,
+		"DATA":                          -10.216033,
+		"DATE":                          -8.424273,
+		"DATETIME":                      -6.689672,
+		"DATE_SUB":                      -10.216033,
+		"DBO.SYSOBJECTS":                -10.216033,
+		"DB_NAME":                       -10.216033,
+		"DECIMAL":                       -8.270123,
+		"DECLARE":                       -9.522886,
+		"DEFAULT":                       -5.773382,
+		"DEFINER":                       -9.522886,
+		"DELETE":                        -6.605115,
+		"DELIMITER":                     -8.829739,
+		"DESC":                          -8.270123,
+		"DETERMINISTIC":                 -10.216033,
+		"DISTINCT":                      -10.216033,
+		"DMS":                           -10.216033,
+		"DROP":                          -8.829739,
+		"DUAL":                          -10.216033,
+		"DuRVo":                         -10.216033,
+		"EACH":                          -9.117421,
+		"ELSE":                          -10.216033,
+		"END":                           -6.527154,
+		"ENGINE":                        -7.443444,
+		"ENUM":                          -10.216033,
+		"EXECUTE":                       -10.216033,
+		"EXISTS":                        -8.270123,
+		"FALSE":                         -10.216033,
+		"FID":                           -9.117421,
+		"FILIAL":                        -7.913448,
+		"FOR":                           -9.117421,
+		"FOREIGN":                       -6.431843,
+		"FOREIGN_KEY_CHECKS":            -9.522886,
+		"FROM":                          -7.271594,
+		"FULLTEXT":                      -10.216033,
+		"GIS":                           -9.522886,
+		"GO":                            -8.829739,
+		"GRANT":                         -8.424273,
+		"GROUP":                         -8.136591,
+		"GROUP_CONCAT":                  -8.829739,
+		"Good":                          -10.216033,
+		"HAVING":                        -10.216033,
+		"HPSM":                          -10.216033,
+		"He":                            -10.216033,
+		"Host":                          -8.829739,
+		"I.":                            -10.216033,
+		"ID":                            -8.424273,
+		"IDENTIFIED":                    -10.216033,
+		"IF":                            -7.271594,
+		"IN":                            -9.522886,
+		"INDEX":                         -7.037979,
+		"INNER":                         -6.957936,
+		"INSERT":                        -7.171511,
+		"INT":                           -7.124991,
+		"INTERVAL":                      -10.216033,
+		"INTO":                          -8.270123,
+		"INVOKER":                       -10.216033,
+		"IS":                            -10.216033,
+		"InnoDB":                        -7.507983,
+		"Izon":                          -9.522886,
+		"JOIN":                          -6.227049,
+		"KEY":                           -5.436909,
+		"KEYSPACE":                      -9.522886,
+		"LANGUAGE":                      -10.216033,
+		"LAST_DAY":                      -10.216033,
+		"LCASE":                         -9.522886,
+		"LEAVE":                         -9.522886,
+		"LEFT":                          -7.913448,
+		"LENGTH":                        -9.522886,
+		"Luck":                          -10.216033,
+		"MEDIUMBLOB":                    -10.216033,
+		"MEDIUMINT":                     -9.522886,
+		"MONTH":                         -9.522886,
+		"Mplanas":                       -10.216033,
+		"MyISAM":                        -10.216033,
+		"N":                             -8.270123,
+		"NO":                            -7.731126,
+		"NOT":                           -5.116167,
+		"NULL":                          -4.743762,
+		"NUMBER":                        -9.522886,
+		"Network":                       -10.216033,
+		"OBJECTPROPERTY":                -10.216033,
+		"OBJECT_ID":                     -10.216033,
+		"ON":                            -4.937918,
+		"ORDER":                         -7.913448,
+		"OUT":                           -10.216033,
+		"P":                             -9.117421,
+		"P.":                            -10.216033,
+		"PH":                            -10.216033,
+		"PK_ID":                         -10.216033,
+		"PLANMONITOR":                   -10.216033,
+		"PRIMARY":                       -6.264789,
+		"PROCEDURE":                     -9.117421,
+		"Private":                       -10.216033,
+		"Procedure":                     -10.216033,
+		"READS":                         -10.216033,
+		"REFERENCES":                    -6.431843,
+		"REPLICATION":                   -9.522886,
+		"RESOURCE":                      -10.216033,
+		"RESTRICT":                      -7.171511,
+		"RETURN":                        -9.117421,
+		"RMF":                           -10.216033,
+		"ROW":                           -9.117421,
+		"SCHEMA":                        -9.522886,
+		"SECURITY":                      -9.522886,
+		"SELECT":                        -7.080539,
+		"SEPARATOR":                     -8.829739,
+		"SET":                           -6.454833,
+		"SHOW":                          -9.522886,
+		"SID":                           -8.829739,
+		"SIEBEL":                        -10.216033,
+		"SMALLINT":                      -6.632514,
+		"SQL":                           -8.829739,
+		"SQL_MODE":                      -9.522886,
+		"STR_TO_DATE":                   -10.216033,
+		"SUBSTR":                        -8.829739,
+		"SUB_TYPE":                      -9.522886,
+		"SUM":                           -8.606595,
+		"Sample":                        -10.216033,
+		"TABLE":                         -6.190681,
+		"TEMPORARY":                     -10.216033,
+		"TEXT":                          -8.829739,
+		"THEN":                          -8.606595,
+		"TIME":                          -10.216033,
+		"TIMESTAMP":                     -6.660685,
+		"TINYINT":                       -7.507983,
+		"TO":                            -8.424273,
+		"TRIGGER":                       -6.719525,
+		"TRUE":                          -8.829739,
+		"TYPE":                          -10.216033,
+		"The":                           -10.216033,
+		"UCASE":                         -9.522886,
+		"UNION":                         -9.522886,
+		"UNIQUE":                        -9.117421,
+		"UNIQUE_CHECKS":                 -9.522886,
+		"UNSIGNED":                      -6.578447,
+		"UPDATE":                        -5.641322,
+		"USE":                           -10.216033,
+		"USER":                          -10.216033,
+		"USING":                         -10.216033,
+		"Use":                           -10.216033,
+		"User":                          -8.829739,
+		"VALUES":                        -8.829739,
+		"VARCHAR":                       -6.344832,
+		"VBIS":                          -10.216033,
+		"VIEW":                          -7.576976,
+		"VPORTAL":                       -10.216033,
+		"Vasileios":                     -10.216033,
+		"Virtual":                       -10.216033,
+		"W":                             -10.216033,
+		"WARNINGS":                      -9.522886,
+		"WHERE":                         -6.605115,
+		"WITH":                          -8.018808,
+		"WXL":                           -10.216033,
+		"When":                          -10.216033,
+		"YEAR":                          -9.522886,
+		"You":                           -10.216033,
+		"ZONE":                          -10.216033,
+		"[":                             -10.216033,
+		"\\":                            -7.124991,
+		"]":                             -10.216033,
+		"_utf":                          -8.136591,
+		"`":                             -5.012026,
+		"a":                             -7.818138,
+		"a.actor_id":                    -8.829739,
+		"a.address":                     -8.829739,
+		"a.address_id":                  -8.424273,
+		"a.city_id":                     -8.424273,
+		"a.first_name":                  -9.522886,
+		"a.last_name":                   -9.522886,
+		"a.phone":                       -8.829739,
+		"a.postal_code":                 -8.829739,
+		"able":                          -10.216033,
+		"abstract":                      -9.522886,
+		"access":                        -9.522886,
+		"active":                        -8.829739,
+		"actor":                         -7.731126,
+		"actor.actor_id":                -9.117421,
+		"actor.first_name":              -8.606595,
+		"actor.last_name":               -8.606595,
+		"actor_id":                      -7.651084,
+		"actor_info":                    -10.216033,
+		"actor_trigger_ai":              -10.216033,
+		"actor_trigger_au":              -10.216033,
+		"actors":                        -9.117421,
+		"add":                           -10.216033,
+		"address":                       -6.920196,
+		"address_id":                    -6.883828,
+		"address_trigger_ai":            -10.216033,
+		"address_trigger_au":            -10.216033,
+		"afraid.":                       -10.216033,
+		"along.":                        -10.216033,
+		"also":                          -10.216033,
+		"alter":                         -10.216033,
+		"amount":                        -9.522886,
+		"and":                           -8.829739,
+		"any":                           -10.216033,
+		"are":                           -10.216033,
+		"articles":                      -9.522886,
+		"as":                            -9.522886,
+		"author":                        -9.522886,
+		"availability":                  -9.522886,
+		"bUD":                           -10.216033,
+		"bar":                           -9.522886,
+		"bar@owasp.com":                 -10.216033,
+		"basic":                         -10.216033,
+		"be":                            -9.522886,
+		"behave":                        -10.216033,
+		"bigint":                        -9.522886,
+		"body":                          -10.216033,
+		"brilliant":                     -10.216033,
+		"but":                           -10.216033,
+		"c":                             -8.606595,
+		"c.category_id":                 -8.829739,
+		"c.city":                        -8.829739,
+		"c.city_id":                     -9.522886,
+		"c.country_id":                  -9.522886,
+		"c.name":                        -8.424273,
+		"cascade":                       -10.216033,
+		"case":                          -10.216033,
+		"category":                      -7.220301,
+		"category.category_id":          -9.117421,
+		"category.name":                 -9.117421,
+		"category_id":                   -7.651084,
+		"category_trigger_ai":           -10.216033,
+		"category_trigger_au":           -10.216033,
+		"challenge.":                    -10.216033,
+		"challenge_id":                  -10.216033,
+		"challenges":                    -9.522886,
+		"city":                          -7.124991,
+		"city.city":                     -8.829739,
+		"city.city_id":                  -8.829739,
+		"city.country_id":               -8.829739,
+		"city_id":                       -7.731126,
+		"city_trigger_ai":               -10.216033,
+		"city_trigger_au":               -10.216033,
+		"code":                          -9.522886,
+		"col":                           -8.829739,
+		"come":                          -10.216033,
+		"command":                       -10.216033,
+		"comment":                       -8.829739,
+		"comment_ts":                    -7.731126,
+		"comments_by_user":              -9.522886,
+		"comments_by_video":             -9.522886,
+		"common":                        -10.216033,
+		"complete":                      -10.216033,
+		"constraint":                    -10.216033,
+		"content":                       -9.522886,
+		"count_rewardees":               -10.216033,
+		"counter":                       -8.829739,
+		"country":                       -7.171511,
+		"country.country":               -8.829739,
+		"country.country_id":            -8.829739,
+		"country_id":                    -7.731126,
+		"country_trigger_ai":            -10.216033,
+		"country_trigger_au":            -10.216033,
+		"create":                        -9.522886,
+		"create_date":                   -9.522886,
+		"created_by":                    -9.522886,
+		"created_date":                  -9.522886,
+		"credit_change_date":            -9.522886,
+		"cu":                            -9.522886,
+		"cu.active":                     -9.522886,
+		"cu.address_id":                 -9.522886,
+		"cu.customer_id":                -9.522886,
+		"cu.first_name":                 -9.522886,
+		"cu.last_name":                  -9.522886,
+		"cu.store_id":                   -9.522886,
+		"customer":                      -7.507983,
+		"customer_id":                   -6.957936,
+		"customer_list":                 -9.522886,
+		"customer_trigger_ai":           -10.216033,
+		"customer_trigger_au":           -10.216033,
+		"cy":                            -9.522886,
+		"cy.country":                    -8.829739,
+		"cy.country_id":                 -9.522886,
+		"date_posted":                   -8.829739,
+		"datediff":                      -10.216033,
+		"datetime":                      -8.829739,
+		"dbo.AvailableInSearchSel":      -9.522886,
+		"default_duration":              -9.522886,
+		"default_points":                -9.522886,
+		"del_film":                      -10.216033,
+		"description":                   -7.576976,
+		"district":                      -9.522886,
+		"dq":                            -10.216033,
+		"drop":                          -7.913448,
+		"dst":                           -10.216033,
+		"dual":                          -10.216033,
+		"dumb":                          -10.216033,
+		"duration":                      -9.522886,
+		"each":                          -10.216033,
+		"either":                        -10.216033,
+		"else":                          -10.216033,
+		"email":                         -8.270123,
+		"end":                           -10.216033,
+		"event":                         -8.424273,
+		"event_timestamp":               -8.424273,
+		"exec":                          -10.216033,
+		"exists":                        -10.216033,
+		"exploited":                     -10.216033,
+		"f":                             -9.117421,
+		"f.film_id":                     -8.424273,
+		"f.title":                       -9.522886,
+		"fa":                            -9.522886,
+		"fa.actor_id":                   -9.522886,
+		"fa.film_id":                    -9.522886,
+		"fc":                            -8.829739,
+		"fc.category_id":                -8.829739,
+		"fc.film_id":                    -8.829739,
+		"film":                          -7.124991,
+		"film.description":              -9.117421,
+		"film.film_id":                  -7.818138,
+		"film.length":                   -9.117421,
+		"film.rating":                   -9.117421,
+		"film.rental_rate":              -9.117421,
+		"film.title":                    -9.117421,
+		"film_actor":                    -7.818138,
+		"film_actor.actor_id":           -9.117421,
+		"film_actor.film_id":            -9.117421,
+		"film_actor_trigger_ai":         -10.216033,
+		"film_actor_trigger_au":         -10.216033,
+		"film_category":                 -7.651084,
+		"film_category.category_id":     -9.117421,
+		"film_category.film_id":         -9.117421,
+		"film_category_trigger_ai":      -10.216033,
+		"film_category_trigger_au":      -10.216033,
+		"film_id":                       -6.502461,
+		"film_info":                     -10.216033,
+		"film_list":                     -9.522886,
+		"film_text":                     -8.606595,
+		"film_trigger_ai":               -10.216033,
+		"film_trigger_au":               -10.216033,
+		"first_name":                    -8.424273,
+		"firstname":                     -9.522886,
+		"fk_address_city":               -9.522886,
+		"fk_city_country":               -9.522886,
+		"fk_customer_address":           -9.522886,
+		"fk_customer_store":             -9.522886,
+		"fk_film_actor_actor":           -9.522886,
+		"fk_film_actor_film":            -9.522886,
+		"fk_film_category_category":     -9.522886,
+		"fk_film_category_film":         -9.522886,
+		"fk_film_language":              -9.522886,
+		"fk_film_language_original":     -9.522886,
+		"fk_inventory_film":             -9.522886,
+		"fk_inventory_store":            -9.522886,
+		"fk_payment_customer":           -9.522886,
+		"fk_payment_rental":             -9.522886,
+		"fk_payment_staff":              -9.522886,
+		"fk_rental_customer":            -9.522886,
+		"fk_rental_inventory":           -9.522886,
+		"fk_rental_staff":               -9.522886,
+		"fk_staff_address":              -9.522886,
+		"fk_staff_store":                -9.522886,
+		"fk_store_address":              -9.522886,
+		"fk_store_staff":                -9.522886,
+		"flush":                         -8.829739,
+		"foo":                           -9.522886,
+		"foo@owasp.com":                 -10.216033,
+		"fooClass":                      -10.216033,
+		"four":                          -10.216033,
+		"friend":                        -10.216033,
+		"from":                          -8.270123,
+		"function":                      -10.216033,
+		"functionname":                  -10.216033,
+		"gain":                          -10.216033,
+		"get":                           -9.117421,
+		"go":                            -10.216033,
+		"goal":                          -9.522886,
+		"grant":                         -8.136591,
+		"hacking":                       -10.216033,
+		"have":                          -10.216033,
+		"he":                            -9.522886,
+		"hides":                         -10.216033,
+		"higher":                        -10.216033,
+		"his":                           -10.216033,
+		"holes":                         -10.216033,
+		"host":                          -10.216033,
+		"hosts":                         -10.216033,
+		"href=":                         -7.818138,
+		"i":                             -8.829739,
+		"i.film_id":                     -9.522886,
+		"i.inventory_id":                -8.829739,
+		"i.store_id":                    -9.522886,
+		"id":                            -8.136591,
+		"idx_actor_last_name":           -9.522886,
+		"idx_customer_fk_address_id":    -10.216033,
+		"idx_customer_fk_store_id":      -10.216033,
+		"idx_customer_last_name":        -10.216033,
+		"idx_fk_address_id":             -9.117421,
+		"idx_fk_city_id":                -9.522886,
+		"idx_fk_country_id":             -9.522886,
+		"idx_fk_customer_id":            -9.117421,
+		"idx_fk_film_actor_actor":       -10.216033,
+		"idx_fk_film_actor_film":        -10.216033,
+		"idx_fk_film_category_category": -10.216033,
+		"idx_fk_film_category_film":     -10.216033,
+		"idx_fk_film_id":                -9.117421,
+		"idx_fk_film_id_store_id":       -10.216033,
+		"idx_fk_inventory_id":           -10.216033,
+		"idx_fk_language_id":            -9.522886,
+		"idx_fk_original_language_id":   -9.522886,
+		"idx_fk_staff_address_id":       -10.216033,
+		"idx_fk_staff_id":               -9.117421,
+		"idx_fk_staff_store_id":         -10.216033,
+		"idx_fk_store_address":          -10.216033,
+		"idx_fk_store_id":               -9.522886,
+		"idx_last_name":                 -10.216033,
+		"idx_rental_fk_customer_id":     -10.216033,
+		"idx_rental_fk_inventory_id":    -10.216033,
+		"idx_rental_fk_staff_id":        -10.216033,
+		"idx_rental_uq":                 -10.216033,
+		"idx_store_fk_manager_staff_id": -10.216033,
+		"idx_store_id_film_id":          -10.216033,
+		"idx_title":                     -10.216033,
+		"idx_title_description":         -10.216033,
+		"idx_unique_manager":            -10.216033,
+		"if":                            -9.522886,
+		"important":                     -10.216033,
+		"in":                            -8.424273,
+		"infiltrate":                    -10.216033,
+		"information":                   -10.216033,
+		"ins_film":                      -10.216033,
+		"int":                           -7.507983,
+		"inventory":                     -7.507983,
+		"inventory.inventory_id":        -10.216033,
+		"inventory_id":                  -7.443444,
+		"inventory_trigger_ai":          -10.216033,
+		"inventory_trigger_au":          -10.216033,
+		"is":                            -9.117421,
+		"is_published":                  -9.522886,
+		"key":                           -10.216033,
+		"knowledge":                     -10.216033,
+		"language":                      -7.913448,
+		"language_id":                   -7.576976,
+		"language_trigger_ai":           -10.216033,
+		"language_trigger_au":           -10.216033,
+		"last":                          -10.216033,
+		"last_modified":                 -9.522886,
+		"last_modified_by":              -9.522886,
+		"last_month_end":                -9.117421,
+		"last_month_start":              -8.270123,
+		"last_name":                     -7.913448,
+		"last_update":                   -6.121688,
+		"lastname":                      -9.522886,
+		"latitude":                      -10.216033,
+		"left":                          -10.216033,
+		"length":                        -8.606595,
+		"level":                         -9.522886,
+		"like":                          -8.136591,
+		"linguist_package":              -9.522886,
+		"list":                          -9.522886,
+		"ll":                            -10.216033,
+		"longitude":                     -10.216033,
+		"longtext":                      -10.216033,
+		"m":                             -9.117421,
+		"m.first_name":                  -9.117421,
+		"m.last_name":                   -9.117421,
+		"m.staff_id":                    -9.522886,
+		"manager":                       -9.522886,
+		"manager_staff_id":              -8.424273,
+		"min_dollar_amount_purchased":   -9.117421,
+		"min_monthly_purchases":         -9.117421,
+		"minutes":                       -9.522886,
+		"modify_date":                   -10.216033,
+		"modify_user":                   -10.216033,
+		"most":                          -9.117421,
+		"mr.":                           -9.522886,
+		"mysql.":                        -8.829739,
+		"n":                             -9.522886,
+		"nI":                            -9.522886,
+		"nSixty":                        -10.216033,
+		"nThere":                        -10.216033,
+		"nYou":                          -10.216033,
+		"nYour":                         -10.216033,
+		"name":                          -8.018808,
+		"ncover":                        -10.216033,
+		"need":                          -10.216033,
+		"new.description":               -9.117421,
+		"new.film_id":                   -9.522886,
+		"new.rowid":                     -6.814836,
+		"new.title":                     -9.117421,
+		"nicer_but_slower_film_list":    -10.216033,
+		"nmany":                         -10.216033,
+		"not":                           -8.606595,
+		"not.":                          -10.216033,
+		"notes":                         -9.522886,
+		"now":                           -10.216033,
+		"null":                          -8.606595,
+		"numeric":                       -10.216033,
+		"object_ddl":                    -10.216033,
+		"obstacles":                     -10.216033,
+		"obvious":                       -10.216033,
+		"of":                            -10.216033,
+		"offer":                         -10.216033,
+		"old.description":               -10.216033,
+		"old.film_id":                   -9.522886,
+		"old.title":                     -10.216033,
+		"on":                            -8.136591,
+		"one":                           -10.216033,
+		"or":                            -8.424273,
+		"oracle":                        -10.216033,
+		"order":                         -9.522886,
+		"ordering":                      -9.522886,
+		"original_language_id":          -8.424273,
+		"other":                         -10.216033,
+		"out.":                          -10.216033,
+		"overcome":                      -10.216033,
+		"p":                             -8.606595,
+		"p.amount":                      -8.606595,
+		"p.customer_id":                 -10.216033,
+		"p.payment_date":                -10.216033,
+		"p.rental_id":                   -8.829739,
+		"p_inventory_id":                -9.522886,
+		"package":                       -9.522886,
+		"pass":                          -10.216033,
+		"password":                      -8.606595,
+		"payment":                       -7.651084,
+		"payment_date":                  -9.522886,
+		"payment_id":                    -8.829739,
+		"payment_trigger_ai":            -10.216033,
+		"payment_trigger_au":            -10.216033,
+		"phone":                         -8.424273,
+		"picture":                       -9.522886,
+		"pkg_name":                      -9.522886,
+		"place":                         -10.216033,
+		"postal_code":                   -9.522886,
+		"price":                         -9.117421,
+		"primary":                       -10.216033,
+		"privileges":                    -10.216033,
+		"proc":                          -9.117421,
+		"procedure":                     -10.216033,
+		"programmer":                    -10.216033,
+		"public":                        -9.522886,
+		"publish":                       -9.522886,
+		"r":                             -7.576976,
+		"r.inventory_id":                -8.829739,
+		"r.rental_id":                   -8.829739,
+		"rating":                        -8.424273,
+		"rating_counter":                -9.522886,
+		"rating_total":                  -9.522886,
+		"real":                          -10.216033,
+		"related":                       -10.216033,
+		"release_year":                  -9.522886,
+		"remember":                      -10.216033,
+		"remove_date":                   -10.216033,
+		"rental":                        -7.325661,
+		"rental.return_date":            -10.216033,
+		"rental_date":                   -8.829739,
+		"rental_duration":               -9.522886,
+		"rental_id":                     -7.818138,
+		"rental_rate":                   -9.522886,
+		"rental_trigger_ai":             -10.216033,
+		"rental_trigger_au":             -10.216033,
+		"replacement_cost":              -9.522886,
+		"return_date":                   -9.522886,
+		"rewards_report":                -10.216033,
+		"role":                          -10.216033,
+		"rowid":                         -6.814836,
+		"rv":                            -10.216033,
+		"s":                             -8.270123,
+		"s.address_id":                  -8.829739,
+		"s.first_name":                  -9.522886,
+		"s.last_name":                   -9.522886,
+		"s.manager_staff_id":            -9.522886,
+		"s.staff_id":                    -9.522886,
+		"s.store_id":                    -8.270123,
+		"said":                          -10.216033,
+		"sakila":                        -9.117421,
+		"sakila.actor":                  -10.216033,
+		"sakila.category":               -10.216033,
+		"sakila.film":                   -10.216033,
+		"sakila.film_actor":             -9.522886,
+		"sakila.film_category":          -9.522886,
+		"sales_by_film_category":        -9.522886,
+		"sales_by_store":                -9.522886,
+		"select":                        -7.271594,
+		"sense":                         -10.216033,
+		"sensei":                        -10.216033,
+		"series":                        -10.216033,
+		"sifu":                          -10.216033,
+		"simple":                        -10.216033,
+		"site.":                         -9.117421,
+		"sixty":                         -10.216033,
+		"skills":                        -10.216033,
+		"solution":                      -9.522886,
+		"some":                          -9.522886,
+		"special_features":              -8.270123,
+		"sql":                           -10.216033,
+		"staff":                         -7.325661,
+		"staff_id":                      -7.124991,
+		"staff_list":                    -9.522886,
+		"staff_trigger_ai":              -10.216033,
+		"staff_trigger_au":              -10.216033,
+		"state":                         -10.216033,
+		"status":                        -10.216033,
+		"store":                         -7.325661,
+		"store_id":                      -6.883828,
+		"store_trigger_ai":              -10.216033,
+		"store_trigger_au":              -10.216033,
+		"stuff":                         -10.216033,
+		"suffice.":                      -10.216033,
+		"suspended":                     -10.216033,
+		"suspendedtoday":                -9.522886,
+		"sysobjects":                    -10.216033,
+		"system.":                       -10.216033,
+		"t":                             -9.522886,
+		"tXZYqS/Lokm":                   -10.216033,
+		"table":                         -8.829739,
+		"tag":                           -8.829739,
+		"tag_index":                     -9.522886,
+		"tags":                          -9.522886,
+		"target=":                       -7.818138,
+		"task":                          -10.216033,
+		"tasks":                         -10.216033,
+		"tell":                          -10.216033,
+		"tests":                         -10.216033,
+		"text":                          -10.216033,
+		"that":                          -9.522886,
+		"the":                           -8.606595,
+		"their":                         -10.216033,
+		"then":                          -10.216033,
+		"they":                          -10.216033,
+		"this":                          -9.522886,
+		"through":                       -10.216033,
+		"timestamp":                     -7.576976,
+		"timeuuid":                      -8.829739,
+		"timezone":                      -10.216033,
+		"title":                         -7.507983,
+		"title_eng":                     -10.216033,
+		"title_ru":                      -10.216033,
+		"title_ua":                      -10.216033,
+		"tmpCustomer":                   -9.522886,
+		"to":                            -7.171511,
+		"token":                         -10.216033,
+		"total_credits":                 -9.522886,
+		"total_sales":                   -8.606595,
+		"translog":                      -10.216033,
+		"try":                           -10.216033,
+		"type":                          -9.117421,
+		"typename":                      -9.522886,
+		"upd_film":                      -10.216033,
+		"upload_date":                   -8.829739,
+		"use":                           -8.829739,
+		"user":                          -8.270123,
+		"user_has_challenge_token":      -9.522886,
+		"user_id":                       -10.216033,
+		"user_resources":                -10.216033,
+		"username":                      -6.750297,
+		"username_video_index":          -9.522886,
+		"users":                         -9.522886,
+		"utf":                           -7.443444,
+		"uuid":                          -7.576976,
+		"v_out":                         -9.522886,
+		"v_rentals":                     -9.522886,
+		"varchar":                       -6.304010,
+		"video_event":                   -9.522886,
+		"video_rating":                  -9.522886,
+		"video_timestamp":               -9.522886,
+		"videodb":                       -8.829739,
+		"videoid":                       -6.814836,
+		"videoname":                     -8.829739,
+		"videos":                        -9.522886,
+		"view":                          -9.117421,
+		"viewname":                      -9.522886,
+		"visibility":                    -9.522886,
+		"wants":                         -10.216033,
+		"was":                           -10.216033,
+		"waspy":                         -10.216033,
+		"waspy@owasp.sifu":              -10.216033,
+		"web":                           -10.216033,
+		"were":                          -10.216033,
+		"when":                          -10.216033,
+		"where":                         -8.424273,
+		"who_called_me":                 -10.216033,
+		"will":                          -9.522886,
+		"x":                             -9.522886,
+		"xwRbrVQtPA":                    -10.216033,
+		"y":                             -9.522886,
+		"yOwAq.":                        -10.216033,
+		"you":                           -8.606595,
+		"your":                          -9.522886,
+		"zFMwcxO":                       -10.216033,
+		"zip":                           -9.117421,
+		"zip_code":                      -9.522886,
+		"zipcodes":                      -10.216033,
+		"{":                             -9.522886,
+		"||":                            -7.576976,
+		"}":                             -9.522886,
 	},
 	"SQLPL": map[string]float64{
 		"!":                  -4.818263,
@@ -144038,3417 +149393,3443 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                              -3.338240,
 	},
 	"Shell": map[string]float64{
-		"!": -5.353437,
-		"#": -5.210336,
-		"#############################################################################": -6.183785,
-		"#*":                                   -8.323851,
-		"#Append":                              -8.323851,
-		"#CDPATH":                              -8.323851,
-		"#Erase":                               -8.323851,
-		"#Immediately":                         -8.323851,
-		"#Number":                              -8.323851,
-		"#Share":                               -8.323851,
-		"#Where":                               -8.323851,
-		"#fils":                                -8.323851,
-		"#function":                            -8.323851,
-		"#residual_args":                       -9.016998,
-		"#sec":                                 -9.016998,
-		"#sudo":                                -8.323851,
-		"#try":                                 -9.016998,
-		"$":                                    -3.536359,
-		"%":                                    -7.407560,
-		"&":                                    -7.407560,
-		"&&":                                   -6.021266,
-		"(":                                    -4.316518,
-		")":                                    -4.221208,
-		"*":                                    -6.819774,
-		"**sbt**":                              -9.016998,
-		"*eqn*":                                -9.016998,
-		"*grap*":                               -9.016998,
-		"*pic*":                                -9.016998,
-		"*tbl*":                                -9.016998,
-		"+":                                    -3.136465,
-		",":                                    -7.071088,
-		"-":                                    -3.156212,
-		".":                                    -7.918386,
-		".*":                                   -9.016998,
-		"..":                                   -7.918386,
-		"./":                                   -9.016998,
-		".jobs.cron":                           -9.016998,
-		".jvmopts":                             -9.016998,
-		".sbt_completion.sh":                   -8.323851,
-		".sbtopts":                             -9.016998,
-		".vac":                                 -9.016998,
-		"/":                                    -6.183785,
-		"/.bashrc":                             -9.016998,
-		"/.dircolors":                          -8.323851,
-		"/.sbt/":                               -9.016998,
-		"/.zsh_history":                        -8.323851,
-		"//LVgGf/suzAzqkJt":                    -9.016998,
-		"//github.com/bumptech/stud.git":       -9.016998,
-		"/AH":                                  -9.016998,
-		"/DmKjAW":                              -9.016998,
-		"/E":                                   -9.016998,
-		"/EAD":                                 -9.016998,
-		"/F":                                   -9.016998,
-		"/FOanhPUBnXKxp/":                      -9.016998,
-		"/Gc":                                  -9.016998,
-		"/HrlJ":                                -9.016998,
-		"/HzX":                                 -9.016998,
-		"/IF":                                  -9.016998,
-		"/Iu":                                  -9.016998,
-		"/JOyrWKjy":                            -9.016998,
-		"/JiOMc":                               -9.016998,
-		"/KinLCSvjRNBVzMUv":                    -9.016998,
-		"/KsQvfDKcB":                           -9.016998,
-		"/MMBpSNxdB":                           -9.016998,
-		"/NgJB":                                -9.016998,
-		"/PrAI":                                -9.016998,
-		"/QK":                                  -9.016998,
-		"/QOer":                                -9.016998,
-		"/RyBXI":                               -9.016998,
-		"/ShZrj":                               -9.016998,
-		"/TPp":                                 -9.016998,
-		"/Td":                                  -9.016998,
-		"/WjlMrQ":                              -9.016998,
-		"/X":                                   -9.016998,
-		"/Y":                                   -9.016998,
-		"/YKY":                                 -9.016998,
-		"/YxtQjCzJqxJPmqdxNgWXpJsEsTlV":        -9.016998,
-		"/Z":                                   -9.016998,
-		"/ZeE":                                 -9.016998,
-		"/aH":                                  -9.016998,
-		"/bImU":                                -9.016998,
-		"/bin":                                 -7.225239,
-		"/bin/bash":                            -7.225239,
-		"/bin/php":                             -9.016998,
-		"/bin/rc":                              -8.323851,
-		"/bin/sh":                              -7.918386,
-		"/bin/test":                            -7.918386,
-		"/bin/zsh":                             -8.323851,
-		"/bjtOcWm":                             -9.016998,
-		"/cB":                                  -9.016998,
-		"/cv":                                  -9.016998,
-		"/dev/null":                            -7.225239,
-		"/fh":                                  -9.016998,
-		"/hRHaWEezzw":                          -9.016998,
-		"/hV":                                  -9.016998,
-		"/iPbNpFdJ":                            -9.016998,
-		"/jY":                                  -9.016998,
-		"/kduASSb":                             -9.016998,
-		"/kj":                                  -9.016998,
-		"/lib/php.ini":                         -9.016998,
-		"/lnJ":                                 -9.016998,
-		"/m":                                   -9.016998,
-		"/mnt/wiki":                            -9.016998,
-		"/n/":                                  -9.016998,
-		"/n/dump":                              -7.918386,
-		"/n/juke":                              -9.016998,
-		"/n/kfs":                               -9.016998,
-		"/n/other":                             -9.016998,
-		"/n/snap":                              -9.016998,
-		"/n/sources":                           -9.016998,
-		"/n/sourcesdump":                       -9.016998,
-		"/n/sourcessnap":                       -9.016998,
-		"/ntu":                                 -9.016998,
-		"/o":                                   -9.016998,
-		"/opt/local/bin":                       -8.323851,
-		"/opt/local/sbin":                      -8.323851,
-		"/opt/mysql/current/bin":               -7.630704,
-		"/q":                                   -9.016998,
-		"/qVNwhTz":                             -9.016998,
-		"/qoVc":                                -9.016998,
-		"/s":                                   -8.323851,
-		"/srv/boot":                            -7.407560,
-		"/srv/il":                              -9.016998,
-		"/srv/kfs":                             -8.323851,
-		"/srv/sources":                         -8.323851,
-		"/sys/man":                             -9.016998,
-		"/sys/man/fonts":                       -9.016998,
-		"/unez":                                -9.016998,
-		"/usr":                                 -9.016998,
-		"/usr/bin":                             -7.225239,
-		"/usr/bin/clear":                       -8.323851,
-		"/usr/bin/dircolors":                   -8.323851,
-		"/usr/bin/env":                         -7.225239,
-		"/usr/ccs/bin":                         -7.630704,
-		"/usr/local/bin":                       -7.630704,
-		"/usr/local/man":                       -8.323851,
-		"/usr/local/php/inst/php":              -9.016998,
-		"/usr/local/php/phpfarm/inst/php":      -9.016998,
-		"/usr/local/sbin":                      -7.630704,
-		"/usr/openwin/bin":                     -7.630704,
-		"/usr/sbin":                            -7.630704,
-		"/usr/sfw/bin":                         -7.630704,
-		"/usr/share/man":                       -8.323851,
-		"/usr/xpg":                             -7.630704,
-		"/vIyVmh":                              -9.016998,
-		"/w":                                   -9.016998,
-		"/wccm":                                -9.016998,
-		"/y":                                   -9.016998,
-		"0":                                    -6.619103,
-		"1":                                    -5.972476,
-		"13":                                   -9.016998,
-		"2":                                    -6.021266,
-		"210":                                  -9.016998,
-		"28":                                   -9.016998,
-		"29":                                   -9.016998,
-		"3":                                    -7.918386,
-		"4":                                    -9.016998,
-		"7":                                    -8.323851,
-		"9":                                    -8.323851,
-		":":                                    -5.104975,
-		";":                                    -4.686265,
-		"<":                                    -9.016998,
-		"<$type>":                              -9.016998,
-		"</body>":                              -9.016998,
-		"</dev/null>":                          -9.016998,
-		"</dev/null}>":                         -9.016998,
-		"</html>":                              -9.016998,
-		"</pre>":                               -7.630704,
-		"<EOM>":                                -9.016998,
-		"<a>":                                  -9.016998,
-		"<body>":                               -9.016998,
-		"<danielmicay@gmail.com>":              -9.016998,
-		"<html>":                               -9.016998,
-		"<integer>":                            -9.016998,
-		"<path>":                               -7.225239,
-		"<paulp@typesafe.com>":                 -9.016998,
-		"<port>":                               -9.016998,
-		"<pre>":                                -7.630704,
-		"<version>":                            -7.918386,
-		"<{echo>":                              -9.016998,
-		"=":                                    -3.411196,
-		">":                                    -6.183785,
-		"?":                                    -7.918386,
-		"@":                                    -7.071088,
-		"A":                                    -5.721161,
-		"AALbK":                                -9.016998,
-		"AAmD":                                 -9.016998,
-		"ABDLgFvC":                             -9.016998,
-		"ACcZnREtHKno/X":                       -9.016998,
-		"ACnQHQpiWITuJddA":                     -9.016998,
-		"AEFQUEUe":                             -9.016998,
-		"AEyz":                                 -9.016998,
-		"AFoxyZJjLuj":                          -9.016998,
-		"AGPjqrm":                              -9.016998,
-		"AGYxFjJr":                             -9.016998,
-		"AIjZxXeciFHOXQvsGWdNDpp":              -9.016998,
-		"AKbyaUnNhOLjylwxqL":                   -9.016998,
-		"AMnYt":                                -9.016998,
-		"ANSI":                                 -9.016998,
-		"APP_BASE_NAME":                        -8.323851,
-		"APP_HOME":                             -8.323851,
-		"APP_HOME/gradle/wrapper/gradle":       -9.016998,
-		"APP_NAME":                             -9.016998,
-		"AQCzLaW":                              -9.016998,
-		"AR":                                   -9.016998,
-		"ASY":                                  -9.016998,
-		"AT":                                   -9.016998,
-		"ATB":                                  -9.016998,
-		"ATz":                                  -9.016998,
-		"AUcKXCkr":                             -9.016998,
-		"AVXz":                                 -9.016998,
-		"AVlJa":                                -9.016998,
-		"AXEl":                                 -9.016998,
-		"AXRB":                                 -9.016998,
-		"AZ":                                   -9.016998,
-		"AZOe":                                 -9.016998,
-		"AZiOtmCfBNb":                          -9.016998,
-		"Aavlyf":                               -9.016998,
-		"Ab":                                   -9.016998,
-		"AcOdeHj":                              -9.016998,
-		"AcdWUu/SzzVQtYum":                     -9.016998,
-		"AcydAhAZdt/m":                         -9.016998,
-		"Ad":                                   -9.016998,
-		"AeIy":                                 -9.016998,
-		"Af":                                   -9.016998,
-		"AiOz":                                 -9.016998,
-		"Ajyaoq":                               -9.016998,
-		"Ak":                                   -9.016998,
-		"Al":                                   -9.016998,
-		"AlSRSgWUKumyX":                        -9.016998,
-		"AmHFK":                                -9.016998,
-		"AoY/":                                 -9.016998,
-		"ApLMwojT":                             -9.016998,
-		"AqQfE":                                -9.016998,
-		"As":                                   -7.918386,
-		"AsBgs":                                -9.016998,
-		"AswW":                                 -9.016998,
-		"Atscj":                                -9.016998,
-		"Aywzaxlyy":                            -9.016998,
-		"AzDJ":                                 -9.016998,
-		"AzOlDoJv":                             -9.016998,
-		"AzahipC":                              -9.016998,
-		"Azm":                                  -9.016998,
-		"B":                                    -5.721161,
-		"B/KJIYF":                              -9.016998,
-		"B/MIA":                                -9.016998,
-		"B/xgD/zkG/jgG/jQGPhgDn":               -9.016998,
-		"BCYYwKcI":                             -9.016998,
-		"BDDxau":                               -9.016998,
-		"BE":                                   -9.016998,
-		"BEBIaaIeV":                            -9.016998,
-		"BGnusx":                               -9.016998,
-		"BGyXV":                                -9.016998,
-		"BH/Ski":                               -9.016998,
-		"BHCMD":                                -9.016998,
-		"BJGT":                                 -9.016998,
-		"BLMsLKUTYclNJ":                        -9.016998,
-		"BLmi":                                 -9.016998,
-		"BM":                                   -9.016998,
-		"BNAQdKzB":                             -9.016998,
-		"BQEXW":                                -9.016998,
-		"BRXCyPihcTQNXMFdSoMSmV":               -9.016998,
-		"BSYlfXmfqZnZ/lCZK":                    -9.016998,
-		"BTUkxjyShVbMbe":                       -9.016998,
-		"BUkbRc":                               -9.016998,
-		"BUrS":                                 -9.016998,
-		"BUsMzLXIZH":                           -9.016998,
-		"BWwGS":                                -9.016998,
-		"BXA":                                  -9.016998,
-		"BZHBEWXJZSgwMAPl":                     -9.016998,
-		"BaFQ":                                 -9.016998,
-		"BanN/IvQxxpSfwj":                      -9.016998,
-		"BaxPVrf":                              -9.016998,
-		"Bb":                                   -9.016998,
-		"Bbl":                                  -9.016998,
-		"BctAazUoUTPvPmdPwhtEDQ":               -9.016998,
-		"BdfFdmh":                              -9.016998,
-		"BfXS":                                 -9.016998,
-		"BhjYA":                                -9.016998,
-		"BhrQLj":                               -9.016998,
-		"Bhw":                                  -9.016998,
-		"BiHCj":                                -9.016998,
-		"BisSSeX":                              -9.016998,
-		"BiwR":                                 -9.016998,
-		"Bj":                                   -9.016998,
-		"BjHfroDoZl":                           -9.016998,
-		"BjadbwMRe":                            -9.016998,
-		"BjguU":                                -9.016998,
-		"BkjUd":                                -9.016998,
-		"BkpwnYlZxvBqGGv":                      -9.016998,
-		"BlgLvzcqJ":                            -9.016998,
-		"BmnYJH":                               -9.016998,
-		"BnN":                                  -9.016998,
-		"BoD":                                  -9.016998,
-		"BoRz":                                 -9.016998,
-		"BocztwT":                              -9.016998,
-		"BpDgX":                                -9.016998,
-		"Br":                                   -8.323851,
-		"Bs":                                   -9.016998,
-		"Btcj/spByJxKe":                        -9.016998,
-		"BtmkUnMId":                            -9.016998,
-		"BtveMkMQvdrK":                         -9.016998,
-		"BuqE":                                 -9.016998,
-		"Bxv":                                  -9.016998,
-		"By":                                   -9.016998,
-		"By/HdnViVsRoB":                        -9.016998,
-		"Bz":                                   -9.016998,
-		"Bzedg":                                -9.016998,
-		"BzqCb":                                -9.016998,
-		"BzqlClIqlxLnKunV":                     -9.016998,
-		"C":                                    -6.126627,
-		"C/ML":                                 -9.016998,
-		"CA":                                   -9.016998,
-		"CAXTLDpyq":                            -9.016998,
-		"CBE":                                  -9.016998,
-		"CFVxfwcGoE":                           -9.016998,
-		"CFg":                                  -9.016998,
-		"CFuP":                                 -9.016998,
-		"CHYWE":                                -9.016998,
-		"CHYiPem":                              -9.016998,
-		"CJXlgeIAPF":                           -9.016998,
-		"CLASSPATH":                            -7.918386,
-		"CLICOLOR":                             -8.323851,
-		"CNgUDc":                               -9.016998,
-		"CPGyeEsOOn":                           -9.016998,
-		"CR":                                   -8.323851,
-		"CSO":                                  -9.016998,
-		"CSnqXj":                               -9.016998,
-		"CT/WG":                                -9.016998,
-		"CU/PjfaYbx":                           -9.016998,
-		"CUxKAamXwBvdR":                        -9.016998,
-		"CVEkoJ":                               -9.016998,
-		"CVRpWLz":                              -9.016998,
-		"CVb":                                  -9.016998,
-		"CW":                                   -9.016998,
-		"CX":                                   -9.016998,
-		"CX/KegUQ":                             -9.016998,
-		"CYGWIN*":                              -9.016998,
-		"CYlQwM":                               -9.016998,
-		"CZ":                                   -8.323851,
-		"Ca":                                   -9.016998,
-		"CaJPiLD":                              -9.016998,
-		"Cc":                                   -9.016998,
-		"CcSEfmqe":                             -9.016998,
-		"CcmLzieFKf":                           -9.016998,
-		"CevvvKLJNI":                           -9.016998,
-		"CfKmx":                                -9.016998,
-		"CghdZC":                               -9.016998,
-		"CgkDWs":                               -9.016998,
-		"ChBl":                                 -9.016998,
-		"Chi":                                  -9.016998,
-		"CiaxvCincy":                           -9.016998,
-		"CjInOUxv":                             -9.016998,
-		"CjtWRGlvuz":                           -9.016998,
-		"CkYUpyn":                              -9.016998,
-		"Cm":                                   -9.016998,
-		"Cmc":                                  -9.016998,
-		"CmoOsja":                              -9.016998,
-		"CoKKzqqHxVBs":                         -9.016998,
-		"Could":                                -8.323851,
-		"Cqjf":                                 -9.016998,
-		"CrLEM":                                -9.016998,
-		"CrsquEyREn":                           -9.016998,
-		"Cs":                                   -9.016998,
-		"CsBMXSOR":                             -9.016998,
-		"CscpOPrgGIBjCI":                       -9.016998,
-		"CskZIujzvXEa":                         -9.016998,
-		"CuVNXnGptNSgEcqkfYvzzJcrq":            -9.016998,
-		"Cx":                                   -9.016998,
-		"D":                                    -6.532092,
-		"DB":                                   -9.016998,
-		"DBe":                                  -9.016998,
-		"DC":                                   -9.016998,
-		"DCEqqm":                               -9.016998,
-		"DChV/KyC":                             -9.016998,
-		"DEFAULT_JVM_OPTS":                     -9.016998,
-		"DESTDIR":                              -9.016998,
-		"DGrO":                                 -9.016998,
-		"DH":                                   -9.016998,
-		"DHUV":                                 -9.016998,
-		"DILVuW":                               -9.016998,
-		"DISPLAY":                              -8.323851,
-		"DJl":                                  -9.016998,
-		"DLRZTU":                               -9.016998,
-		"DNPQN":                                -9.016998,
-		"DNfvYP":                               -9.016998,
-		"DOK":                                  -9.016998,
-		"DOxZ":                                 -9.016998,
-		"DQSu/hsxZC":                           -9.016998,
-		"DRE":                                  -9.016998,
-		"DSjeFTjELofYxwZq":                     -9.016998,
-		"DTObjpHNx":                            -9.016998,
-		"DTWnm":                                -9.016998,
-		"DU":                                   -9.016998,
-		"DV/eMw/pss":                           -9.016998,
-		"DW":                                   -8.323851,
-		"DXbTc":                                -9.016998,
-		"DY":                                   -8.323851,
-		"DYe":                                  -9.016998,
-		"DYuOZBZIEodzHsrDw":                    -9.016998,
-		"DZXCNmwJkSKIEXCsbiQpvjq":              -9.016998,
-		"DZuw":                                 -9.016998,
-		"DaNv":                                 -9.016998,
-		"DacPOdIwSfKrE/YlRpM":                  -9.016998,
-		"Darwin*":                              -9.016998,
-		"DdKr":                                 -9.016998,
-		"DdOe":                                 -9.016998,
-		"De":                                   -9.016998,
-		"DeSbRKiQ":                             -9.016998,
-		"Debug":                                -8.323851,
-		"Detected":                             -9.016998,
-		"DfPuSeXDuKPHsPCQ/d/wRbhc":             -9.016998,
-		"DfWunRn":                              -9.016998,
-		"Dfso":                                 -9.016998,
-		"Dg":                                   -9.016998,
-		"Di":                                   -9.016998,
-		"Dj":                                   -8.323851,
-		"Dkey":                                 -8.323851,
-		"DlPZQXP":                              -9.016998,
-		"DlzagVyeZRzQvBlmwG":                   -9.016998,
-		"Dm":                                   -8.323851,
-		"DmRftAKfyYb":                          -9.016998,
-		"DnRw":                                 -9.016998,
-		"DoL":                                  -9.016998,
-		"Dorg.gradle.appname":                  -9.016998,
-		"DpCms":                                -9.016998,
-		"DpHQKLS":                              -9.016998,
-		"DqgdscAUIRBd":                         -9.016998,
-		"DrqrN":                                -9.016998,
-		"DscG":                                 -9.016998,
-		"DsrwiYafzPgga":                        -9.016998,
-		"DttzE/Q":                              -9.016998,
-		"DuYokpe":                              -9.016998,
-		"Dvkvo":                                -9.016998,
-		"DyCd":                                 -9.016998,
-		"DzLNeptoZZpZ":                         -9.016998,
-		"DzutDCuxU":                            -9.016998,
-		"E":                                    -6.244410,
-		"EAg":                                  -9.016998,
-		"EBTdCTPebEPmYhtedcL":                  -9.016998,
-		"EBTi":                                 -9.016998,
-		"ECA":                                  -9.016998,
-		"ECR":                                  -9.016998,
-		"ECWiNrD":                              -9.016998,
-		"EEwHbSrQPtxLlx":                       -9.016998,
-		"EFpoEf":                               -9.016998,
-		"EH":                                   -8.323851,
-		"EHZXkVtffKeyxg":                       -9.016998,
-		"EIH":                                  -9.016998,
-		"EIViocxkAY":                           -9.016998,
-		"EJGMz":                                -9.016998,
-		"EJwaa":                                -9.016998,
-		"EL":                                   -9.016998,
-		"ENghSA":                               -9.016998,
-		"EOCVMlJ":                              -9.016998,
-		"EOM":                                  -9.016998,
-		"EOj":                                  -9.016998,
-		"EPN":                                  -9.016998,
-		"ES":                                   -9.016998,
-		"ESmtqdoGqj":                           -9.016998,
-		"ETN":                                  -9.016998,
-		"EY/md":                                -9.016998,
-		"EZaGVi":                               -9.016998,
-		"Ea":                                   -9.016998,
-		"EagscUiByVN":                          -9.016998,
-		"Ec":                                   -9.016998,
-		"Ec/hAiE":                              -9.016998,
-		"EcbKeQ/yg":                            -9.016998,
-		"EdOdIiF":                              -9.016998,
-		"EdVfBkx/fUyPdTf":                      -9.016998,
-		"EdqAmR":                               -9.016998,
-		"Eego":                                 -9.016998,
-		"EgwougkKTm":                           -9.016998,
-		"EiEik":                                -9.016998,
-		"EjtojePYQ":                            -9.016998,
-		"EkQffzQYoQNjHnbK":                     -9.016998,
-		"EkmN":                                 -9.016998,
-		"ElANY":                                -9.016998,
-		"ElAV":                                 -9.016998,
-		"En":                                   -9.016998,
-		"Ens/nWw/fpb/":                         -9.016998,
-		"EppCB":                                -9.016998,
-		"EqNa/HHNJUzty":                        -9.016998,
-		"EqP":                                  -9.016998,
-		"Er":                                   -9.016998,
-		"Error":                                -8.323851,
-		"EuWttPcRuEAxOubvzj":                   -9.016998,
-		"EujjRblfTFKOmzca":                     -9.016998,
-		"Ev":                                   -9.016998,
-		"EvqA":                                 -9.016998,
-		"Ewle":                                 -9.016998,
-		"Experimental":                         -9.016998,
-		"EyRsB":                                -9.016998,
-		"EycMyYcfMVWwoKfubI":                   -9.016998,
-		"Ezfez":                                -9.016998,
-		"F":                                    -6.937557,
-		"FIAnG":                                -9.016998,
-		"FONTS":                                -9.016998,
-		"FShEBmy":                              -9.016998,
-		"FTUjJny":                              -9.016998,
-		"Fk":                                   -9.016998,
-		"FlokAD":                               -9.016998,
-		"FlqXVhh":                              -9.016998,
-		"FpPPFlBWl":                            -9.016998,
-		"FvshC":                                -9.016998,
-		"G":                                    -6.532092,
-		"G/za":                                 -9.016998,
-		"GAjKCGjUtEIIYFsekq":                   -9.016998,
-		"GCEqHINnBXFEd":                        -9.016998,
-		"GDE":                                  -9.016998,
-		"GEKBPndM":                             -9.016998,
-		"GGRUTwAw":                             -9.016998,
-		"GGma":                                 -9.016998,
-		"GI":                                   -9.016998,
-		"GJane":                                -9.016998,
-		"GK":                                   -9.016998,
-		"GNIWd":                                -9.016998,
-		"GNKpRow":                              -9.016998,
-		"GNYkJeOxzvqayhqL":                     -9.016998,
-		"GNZ":                                  -9.016998,
-		"GOa":                                  -9.016998,
-		"GPAn":                                 -9.016998,
-		"GQMtdxRMQ":                            -9.016998,
-		"GQtJ":                                 -9.016998,
-		"GRADLE_CYGPATTERN":                    -8.323851,
-		"GRADLE_OPTS":                          -9.016998,
-		"GREP_OPTIONS":                         -9.016998,
-		"GRGvdlLqNh":                           -9.016998,
-		"GSwm":                                 -9.016998,
-		"GT/":                                  -9.016998,
-		"GTZnQXtd":                             -9.016998,
-		"GU":                                   -9.016998,
-		"GUL":                                  -9.016998,
-		"GVMBb":                                -9.016998,
-		"GVq":                                  -9.016998,
-		"GWZ/":                                 -9.016998,
-		"GXDDi":                                -9.016998,
-		"GXXyJnoNhQk":                          -9.016998,
-		"GYnrCt":                               -9.016998,
-		"Ga":                                   -9.016998,
-		"GbQpBUjKRQVrTE":                       -9.016998,
-		"GcHAZvT":                              -9.016998,
-		"Gdl":                                  -9.016998,
-		"GdzWamXkyY":                           -9.016998,
-		"GePtlaMDQ/":                           -9.016998,
-		"GfE":                                  -9.016998,
-		"GgQ":                                  -9.016998,
-		"GiKHpDq/xuhXM":                        -9.016998,
-		"GiQHtodfLG":                           -9.016998,
-		"Global":                               -8.323851,
-		"GmovIBtyo":                            -9.016998,
-		"Gnb":                                  -9.016998,
-		"GqLV":                                 -9.016998,
-		"GqnC":                                 -9.016998,
-		"GscaUBi":                              -9.016998,
-		"GtMOEeXA":                             -9.016998,
-		"Gu":                                   -9.016998,
-		"GzjBJQ":                               -9.016998,
-		"H":                                    -6.183785,
-		"HCR":                                  -9.016998,
-		"HChL":                                 -9.016998,
-		"HFG":                                  -9.016998,
-		"HFo":                                  -9.016998,
-		"HFvcgBEDZoqyNfSfcCEEyxaai":            -9.016998,
-		"HIJ/JuD":                              -9.016998,
-		"HISTCONTROL":                          -8.323851,
-		"HISTDUP":                              -8.323851,
-		"HISTFILE":                             -8.323851,
-		"HISTIGNORE":                           -8.323851,
-		"HJ":                                   -9.016998,
-		"HJWSIc":                               -9.016998,
-		"HK":                                   -9.016998,
-		"HLIHI":                                -9.016998,
-		"HLQJ":                                 -9.016998,
-		"HM":                                   -9.016998,
-		"HNcwQoeYU":                            -9.016998,
-		"HOME/.common":                         -7.630704,
-		"HOME/.custom":                         -7.630704,
-		"HOME/.zsh/func":                       -8.323851,
-		"HObwpJ":                               -9.016998,
-		"HPA":                                  -9.016998,
-		"HPPPed":                               -9.016998,
-		"HR":                                   -9.016998,
-		"HRRg":                                 -9.016998,
-		"HRzumcQMsAxeHsiZKsSRG":                -9.016998,
-		"HVbNioubPsrdK":                        -9.016998,
-		"HXdb":                                 -9.016998,
-		"HYn":                                  -9.016998,
-		"HYq":                                  -9.016998,
-		"HZwqWAgd":                             -9.016998,
-		"HaEg":                                 -9.016998,
-		"Hce":                                  -9.016998,
-		"HdjCsO":                               -9.016998,
-		"Hfkdmiknb":                            -9.016998,
-		"HfpRgz":                               -9.016998,
-		"Hh":                                   -9.016998,
-		"HiQypOoqwANP":                         -9.016998,
-		"Hidp":                                 -9.016998,
-		"Hj":                                   -9.016998,
-		"HkvjJLES":                             -9.016998,
-		"HkvjYioBQYHkfnwYSiJ":                  -9.016998,
-		"Hl":                                   -9.016998,
-		"Hm":                                   -9.016998,
-		"Ho":                                   -9.016998,
-		"HoWrKv":                               -9.016998,
-		"Hp":                                   -9.016998,
-		"HplPFahnFO":                           -9.016998,
-		"Hr":                                   -9.016998,
-		"HtnAq":                                -9.016998,
-		"Hve":                                  -9.016998,
-		"Hw":                                   -9.016998,
-		"Hx":                                   -9.016998,
-		"I":                                    -6.126627,
-		"ICRC":                                 -9.016998,
-		"ICzNBXo":                              -9.016998,
-		"IF":                                   -9.016998,
-		"IFS=":                                 -9.016998,
-		"IFhx/":                                -9.016998,
-		"IFvUJgxuDn":                           -9.016998,
-		"IGLy":                                 -9.016998,
-		"IIUc":                                 -9.016998,
-		"IIidsV":                               -9.016998,
-		"IJzrhiGuGfwUO":                        -9.016998,
-		"IKhHoM":                               -9.016998,
-		"IL/h":                                 -9.016998,
-		"IMQdgQhIZCbffsXyjTeLzlW":              -9.016998,
-		"IOMeZTFwws":                           -9.016998,
-		"IPZo":                                 -9.016998,
-		"IQ":                                   -9.016998,
-		"IQynHn/p":                             -9.016998,
-		"IR":                                   -9.016998,
-		"IRSAZOAE":                             -9.016998,
-		"ISdId/INxb":                           -9.016998,
-		"ISmgKNvPcCXI":                         -9.016998,
-		"IStHUlaOsqwcSVk":                      -9.016998,
-		"ITAxT":                                -9.016998,
-		"ITtT":                                 -9.016998,
-		"ITw":                                  -9.016998,
-		"IUh":                                  -9.016998,
-		"IV":                                   -9.016998,
-		"IWmo/YrXjbe":                          -9.016998,
-		"IYFKEHxGrvfNx":                        -9.016998,
-		"IYwJFC":                               -9.016998,
-		"IbkZoo":                               -9.016998,
-		"Ic":                                   -9.016998,
-		"IcL":                                  -9.016998,
-		"Icbz":                                 -9.016998,
-		"IdGQejR":                              -9.016998,
-		"IeVwFM":                               -9.016998,
-		"Iefv":                                 -9.016998,
-		"Iew":                                  -9.016998,
-		"If":                                   -9.016998,
-		"IfVuuHW":                              -9.016998,
-		"Ifuc":                                 -9.016998,
-		"IhO":                                  -9.016998,
-		"IkDO":                                 -9.016998,
-		"ImXeImXeImXeImXeImXeImXePl/LH":        -9.016998,
-		"Imr/gi":                               -9.016998,
-		"In":                                   -9.016998,
-		"In/qjrMO":                             -9.016998,
-		"InhOKrwcug":                           -9.016998,
-		"Ink":                                  -9.016998,
-		"InnV":                                 -9.016998,
-		"IrJDNeJad":                            -9.016998,
-		"IrsrMe":                               -9.016998,
-		"It":                                   -9.016998,
-		"IuyDUf":                               -9.016998,
-		"IwDHlwiP":                             -9.016998,
-		"IxpL/aAZGXwVuaB//":                    -9.016998,
-		"IywgRSeovki":                          -9.016998,
-		"Iz":                                   -9.016998,
-		"IzlNs":                                -9.016998,
-		"J":                                    -6.377941,
-		"JAAnS":                                -9.016998,
-		"JAK":                                  -9.016998,
-		"JAVACMD":                              -8.323851,
-		"JAVA_HOME":                            -8.323851,
-		"JAVA_OPTS":                            -9.016998,
-		"JAbGNBl":                              -9.016998,
-		"JAja":                                 -9.016998,
-		"JB":                                   -9.016998,
-		"JBEQicj":                              -9.016998,
-		"JBUeZJv":                              -9.016998,
-		"JBfWbdjBebevPNQ":                      -9.016998,
-		"JBsA":                                 -9.016998,
-		"JC":                                   -9.016998,
-		"JFfHQZL":                              -9.016998,
-		"JGBG/WJJHsExnHPse":                    -9.016998,
-		"JGCuAf/k":                             -9.016998,
-		"JGSAMcFCxkAb":                         -9.016998,
-		"JGvi":                                 -9.016998,
-		"JHeNIn":                               -9.016998,
-		"JIMnaKkC":                             -9.016998,
-		"JKLgKksj/MVgCZcGPhLoFFGzrHIYVVl":      -9.016998,
-		"JM":                                   -9.016998,
-		"JMG/WFqL":                             -9.016998,
-		"JN":                                   -9.016998,
-		"JNthRp":                               -9.016998,
-		"JNupa":                                -9.016998,
-		"JOtq":                                 -9.016998,
-		"JPEx":                                 -9.016998,
-		"JR":                                   -9.016998,
-		"JSEKZlu":                              -9.016998,
-		"JSGv":                                 -9.016998,
-		"JSllXEoZtRUTmyWSimjl":                 -9.016998,
-		"JVBOsqeCsaIOkNzkthQCImwtQUVakga":      -9.016998,
-		"JVM_OPTS":                             -9.016998,
-		"JVU":                                  -9.016998,
-		"JXGUH":                                -9.016998,
-		"JXgnui":                               -9.016998,
-		"JYRlSqNYa":                            -9.016998,
-		"JZF":                                  -9.016998,
-		"JZZ/G":                                -9.016998,
-		"JaSM":                                 -9.016998,
-		"Java":                                 -9.016998,
-		"Jd":                                   -9.016998,
-		"JdlDmckFeUl":                          -9.016998,
-		"Je":                                   -9.016998,
-		"JepSqstJ":                             -9.016998,
-		"JfTS":                                 -9.016998,
-		"JiFVbqXgpmi":                          -9.016998,
-		"Jj":                                   -9.016998,
-		"Jka":                                  -9.016998,
-		"Jm/A/":                                -9.016998,
-		"JnH":                                  -9.016998,
-		"Jo":                                   -9.016998,
-		"JrwIGrvC":                             -9.016998,
-		"Js":                                   -9.016998,
-		"JuUjBIF":                              -9.016998,
-		"JuhRcBEfXXSNlzPIcTzg":                 -9.016998,
-		"JvddjbV":                              -9.016998,
-		"Jw":                                   -9.016998,
-		"JwFQpoF":                              -9.016998,
-		"JwGS":                                 -9.016998,
-		"JwJspATXEPFy":                         -9.016998,
-		"JxX":                                  -9.016998,
-		"JyhlWXUYszpIvZOOr":                    -9.016998,
-		"JzAKeoV":                              -9.016998,
-		"K":                                    -6.183785,
-		"KASeYfZ":                              -9.016998,
-		"KAkOqexYKySrmfgPeBGIizR/VTNLcrxc":     -9.016998,
-		"KDO/RE":                               -9.016998,
-		"KDRkJ":                                -9.016998,
-		"KE":                                   -9.016998,
-		"KFUSHYNIlc":                           -9.016998,
-		"KFz":                                  -9.016998,
-		"KKzy":                                 -9.016998,
-		"KLZTTfWfCB":                           -9.016998,
-		"KM":                                   -9.016998,
-		"KMivoNJ/JIxy":                         -9.016998,
-		"KOKJLlc":                              -9.016998,
-		"KQ/yNZR":                              -9.016998,
-		"KRQjwFpLKnQE":                         -9.016998,
-		"KU":                                   -9.016998,
-		"KUF/":                                 -9.016998,
-		"KWK":                                  -9.016998,
-		"KZQxIfwwwO/iDI":                       -9.016998,
-		"KZqgZ":                                -9.016998,
-		"KZzcNM":                               -9.016998,
-		"KbUNSWRq":                             -9.016998,
-		"KbV":                                  -9.016998,
-		"Kd":                                   -9.016998,
-		"KdQQ":                                 -9.016998,
-		"KeWB":                                 -9.016998,
-		"Kei/yMoQpRhAsd":                       -9.016998,
-		"KfxdZ":                                -9.016998,
-		"Kgwy":                                 -9.016998,
-		"KhRz":                                 -9.016998,
-		"KiYL/i":                               -9.016998,
-		"Kj":                                   -9.016998,
-		"KjJ":                                  -9.016998,
-		"Kjd":                                  -9.016998,
-		"KkukPUXa":                             -9.016998,
-		"KnvqjFuTBr":                           -9.016998,
-		"Kp":                                   -9.016998,
-		"KqKfW":                                -9.016998,
-		"Kqe":                                  -9.016998,
-		"KrX":                                  -9.016998,
-		"Kubzmk":                               -9.016998,
-		"Kuy":                                  -9.016998,
-		"KwledV":                               -9.016998,
-		"KxNRkU":                               -9.016998,
-		"KyXUgHiIQJM":                          -9.016998,
-		"Kz":                                   -9.016998,
-		"L":                                    -7.630704,
-		"L/febGOpRO/QKUyEduPb":                 -9.016998,
-		"LBgbVSluPTrqqShkOwEUIQ":               -9.016998,
-		"LIWha":                                -9.016998,
-		"LTLUBG":                               -9.016998,
-		"LZXoQ":                                -9.016998,
-		"Lb/":                                  -9.016998,
-		"Level":                                -8.323851,
-		"Lflag":                                -7.225239,
-		"Lm":                                   -9.016998,
-		"M":                                    -6.452049,
-		"M/QdXCiDTjM":                          -9.016998,
-		"MAN":                                  -7.407560,
-		"MANPATH":                              -8.323851,
-		"MAX_FD":                               -7.630704,
-		"MAX_FD_LIMIT":                         -8.323851,
-		"MAq":                                  -9.016998,
-		"MB":                                   -8.323851,
-		"MBTXul":                               -9.016998,
-		"MDf":                                  -9.016998,
-		"MFjHvaWyeEw":                          -9.016998,
-		"MG":                                   -9.016998,
-		"MH":                                   -9.016998,
-		"MHAp":                                 -9.016998,
-		"MIF":                                  -9.016998,
-		"MINGW*":                               -9.016998,
-		"MLx":                                  -9.016998,
-		"MMAdFeeSJAw":                          -9.016998,
-		"MMKqgfiXSh":                           -9.016998,
-		"MNCIXLf":                              -9.016998,
-		"MQ":                                   -9.016998,
-		"MQHqJyjw":                             -9.016998,
-		"MQLC":                                 -9.016998,
-		"MQNZKMKDG":                            -9.016998,
-		"MQtf":                                 -9.016998,
-		"MRlyllf":                              -9.016998,
-		"MRn":                                  -9.016998,
-		"MS":                                   -9.016998,
-		"MTeksb":                               -9.016998,
-		"MYMMvceMEPLrpvx":                      -9.016998,
-		"MZ":                                   -9.016998,
-		"MZE":                                  -9.016998,
-		"MbuaZN/Z":                             -9.016998,
-		"McxhKqWIwY":                           -9.016998,
-		"MdpaEhCWevIj":                         -9.016998,
-		"MfToQ":                                -9.016998,
-		"Mfl":                                  -9.016998,
-		"MfqETj":                               -9.016998,
-		"MfuMUfsR":                             -9.016998,
-		"Mg":                                   -9.016998,
-		"MhjOA":                                -9.016998,
-		"MjgHYrd///CRmPYMJoPVne":               -9.016998,
-		"MjsaVug":                              -9.016998,
-		"Mk":                                   -9.016998,
-		"MlWFHgRkIz":                           -9.016998,
-		"Mm":                                   -9.016998,
-		"MoCTrDPH":                             -9.016998,
-		"MoZ":                                  -9.016998,
-		"Mopj":                                 -9.016998,
-		"Mp":                                   -9.016998,
-		"MpDrJoMMnkOj":                         -9.016998,
-		"MpoID":                                -9.016998,
-		"MprCdZKnxPep":                         -9.016998,
-		"Mq":                                   -9.016998,
-		"Mqy":                                  -9.016998,
-		"Mrr":                                  -9.016998,
-		"MwV":                                  -9.016998,
-		"MwiDbRmGQVxMYBOHH":                    -9.016998,
-		"MxU":                                  -9.016998,
-		"MyFcvwjvz":                            -9.016998,
-		"MykyStl":                              -9.016998,
-		"MyndHUyicX":                           -9.016998,
-		"MzGYw":                                -9.016998,
-		"N":                                    -7.225239,
-		"N/baMSwUuJ":                           -9.016998,
-		"NA":                                   -9.016998,
-		"NANjJaLd":                             -9.016998,
-		"NBeaPxfsK/KNGfZz":                     -9.016998,
-		"NF":                                   -9.016998,
-		"NFhsR/g":                              -9.016998,
-		"NFs":                                  -9.016998,
-		"NI":                                   -9.016998,
-		"NLMIeYjuMOvN":                         -9.016998,
-		"NLeE/xCH":                             -9.016998,
-		"NOLxT":                                -9.016998,
-		"NPW":                                  -9.016998,
-		"NPgDOxuLqSdQ":                         -9.016998,
-		"NT":                                   -8.323851,
-		"NTDS":                                 -9.016998,
-		"NUEWc":                                -9.016998,
-		"NUFjCIqRhGU":                          -9.016998,
-		"NVAkOLDiDi":                           -9.016998,
-		"NYcCo":                                -9.016998,
-		"NZTTcQtxBK":                           -9.016998,
-		"NZvI":                                 -9.016998,
-		"NaKYUVS":                              -9.016998,
-		"NaTm":                                 -9.016998,
-		"NbL":                                  -9.016998,
-		"NbkZV":                                -9.016998,
-		"Nd":                                   -9.016998,
-		"NdXWZ":                                -9.016998,
-		"Ne":                                   -9.016998,
-		"NeuNXugCjI":                           -9.016998,
-		"Nflag":                                -7.071088,
-		"NfyQMR":                               -9.016998,
-		"NhfLIv":                               -9.016998,
-		"NhwcIXqONCI":                          -9.016998,
-		"NjC":                                  -9.016998,
-		"NkItiHgYGO":                           -9.016998,
-		"NkaBO":                                -9.016998,
-		"Nlk":                                  -9.016998,
-		"Nm":                                   -9.016998,
-		"Nmmefxa/NNQVwmp":                      -9.016998,
-		"NnaFYb":                               -9.016998,
-		"Nooh":                                 -9.016998,
-		"NoxcA/":                               -9.016998,
-		"NpaW":                                 -9.016998,
-		"Npv":                                  -9.016998,
-		"NpygY":                                -9.016998,
-		"NqhTjUlAgP":                           -9.016998,
-		"Ns":                                   -9.016998,
-		"NskZqi":                               -9.016998,
-		"NtHLMPep":                             -9.016998,
-		"NtYvFQiG":                             -9.016998,
-		"NtaDB":                                -9.016998,
-		"Nw":                                   -9.016998,
-		"Nwocnsxbt/pCxZK":                      -9.016998,
-		"NxR":                                  -9.016998,
-		"NyDewiogE":                            -9.016998,
-		"NyFq":                                 -9.016998,
-		"NyTAlv":                               -9.016998,
-		"Nz":                                   -9.016998,
-		"O":                                    -6.619103,
-		"OA/jk/TUPDm":                          -9.016998,
-		"ODSBrFbG":                             -9.016998,
-		"OEfexmMKISvKzAiEr":                    -9.016998,
-		"OFuyRTZeTgtIzgggiqrqv":                -9.016998,
-		"OIYWCU":                               -9.016998,
-		"OMEFLaW":                              -9.016998,
-		"OPYv":                                 -9.016998,
-		"OPtp":                                 -9.016998,
-		"OQ":                                   -9.016998,
-		"OQFiEpqCuz":                           -9.016998,
-		"OTvnsHMuO":                            -9.016998,
-		"OURCYGPATTERN":                        -8.323851,
-		"OUlRAPkcfzA":                          -9.016998,
-		"OUwc":                                 -9.016998,
-		"OWKU":                                 -9.016998,
-		"OWfmnzIH":                             -9.016998,
-		"OX":                                   -9.016998,
-		"OY":                                   -9.016998,
-		"OYn":                                  -9.016998,
-		"OZTHZjFDaDSwdPhYTcCSKJRlltNuOTkQlhGh": -9.016998,
-		"ObjXTvVRu":                            -9.016998,
-		"OckgnkyZziYlKw":                       -9.016998,
-		"OcvXSxBGjsq":                          -9.016998,
-		"OdOeUlcogbDR":                         -9.016998,
-		"OemYKiu":                              -9.016998,
-		"OfvNKQaCuohNIxIuA":                    -9.016998,
-		"Ogc":                                  -9.016998,
-		"OhTjo":                                -9.016998,
-		"Ohxib":                                -9.016998,
-		"OjyU":                                 -9.016998,
-		"Olak":                                 -9.016998,
-		"OlbTpiBZVmmCB":                        -9.016998,
-		"Olnb":                                 -9.016998,
-		"OnF/":                                 -9.016998,
-		"Oncxvo":                               -9.016998,
-		"Oqv":                                  -9.016998,
-		"Orko":                                 -9.016998,
-		"Osnmm":                                -9.016998,
-		"OuYUcACdtcPeIfrNdiqz/wT":              -9.016998,
-		"Ov":                                   -9.016998,
-		"Overriding":                           -9.016998,
-		"OvfuG/ue":                             -9.016998,
-		"Ow":                                   -9.016998,
-		"Ox":                                   -9.016998,
-		"OxyMOUTnYPp":                          -9.016998,
-		"OyFruT":                               -9.016998,
-		"OyiNqr/":                              -9.016998,
-		"OyrboOk":                              -9.016998,
-		"OzPJLTCoK":                            -9.016998,
-		"OzVE/pvZ":                             -9.016998,
-		"P":                                    -6.021266,
-		"PAIWl":                                -9.016998,
-		"PATH":                                 -6.714413,
-		"PAre/R":                               -9.016998,
-		"PC":                                   -9.016998,
-		"PCNE":                                 -9.016998,
-		"PERg":                                 -9.016998,
-		"PFtTBMEnCKII":                         -9.016998,
-		"PGGCzwPOGVAz":                         -9.016998,
-		"PHPRC":                                -8.323851,
-		"PHP_FCGI_CHILDREN":                    -8.323851,
-		"PHP_FCGI_MAX_REQUESTS":                -8.323851,
-		"PKThJ":                                -9.016998,
-		"PKU":                                  -9.016998,
-		"PLRwfe":                               -9.016998,
-		"PMAggdhANpQfHlLh":                     -9.016998,
-		"PMbjgAMTZQqKrgFzKzm":                  -9.016998,
-		"POSTFIX":                              -8.323851,
-		"PP":                                   -9.016998,
-		"PPunVBfpg":                            -9.016998,
-		"PQ":                                   -9.016998,
-		"PREFIX":                               -9.016998,
-		"PRG":                                  -7.630704,
-		"PROMPT_COMMAND":                       -8.323851,
-		"PS":                                   -9.016998,
-		"PTJJ":                                 -9.016998,
-		"PUSHURL":                              -9.016998,
-		"PVI":                                  -9.016998,
-		"PWH":                                  -9.016998,
-		"PYVKp":                                -9.016998,
-		"PZjbcY":                               -9.016998,
-		"PaKvbxUGkvN":                          -9.016998,
-		"Pcpxq":                                -9.016998,
-		"Pcs":                                  -9.016998,
-		"PgWagT":                               -9.016998,
-		"Pgd":                                  -9.016998,
-		"Pk":                                   -8.323851,
-		"PkYTUtManblISOFg":                     -9.016998,
-		"PkdqFthbX":                            -9.016998,
-		"Pkoo":                                 -9.016998,
-		"Pl":                                   -9.016998,
-		"Please":                               -9.016998,
-		"PnCC":                                 -9.016998,
-		"PoxtCSfj":                             -9.016998,
-		"PpCzjMbxUJs":                          -9.016998,
-		"PpM/tBcvr":                            -9.016998,
-		"Pq":                                   -9.016998,
-		"PqAg":                                 -9.016998,
-		"PqG":                                  -9.016998,
-		"PqX":                                  -9.016998,
-		"Pqp":                                  -9.016998,
-		"PrZwfGzh":                             -9.016998,
-		"Previous":                             -9.016998,
-		"PsyIxLv":                              -9.016998,
-		"Pt":                                   -9.016998,
-		"PtczipXeaXLo":                         -9.016998,
-		"Pu":                                   -9.016998,
-		"PuH":                                  -9.016998,
-		"Pv":                                   -9.016998,
-		"PvkkFBeJGCMFgbh":                      -9.016998,
-		"Pvzw/":                                -9.016998,
-		"Pxo":                                  -9.016998,
-		"Pxpw":                                 -9.016998,
-		"PyD/":                                 -9.016998,
-		"PyRNIYZ":                              -9.016998,
-		"Pyiqy":                                -9.016998,
-		"Pyjf":                                 -9.016998,
-		"PzQ":                                  -9.016998,
-		"PzpoihGaxL":                           -9.016998,
-		"PzuKpDo":                              -9.016998,
-		"Q":                                    -6.937557,
-		"Q/":                                   -9.016998,
-		"QAI/PjyORym":                          -9.016998,
-		"QArvTPAzKDot":                         -9.016998,
-		"QCrd":                                 -9.016998,
-		"QDZyFowGtXrKyVSmkE":                   -9.016998,
-		"QDziM":                                -9.016998,
-		"QEGVovRp":                             -9.016998,
-		"QGAEmdDIjtoPLtBck":                    -9.016998,
-		"QHwYNVP":                              -9.016998,
-		"QIsfO":                                -9.016998,
-		"QKFNFGI/":                             -9.016998,
-		"QLIH":                                 -9.016998,
-		"QLTjBAUl":                             -9.016998,
-		"QLfu":                                 -9.016998,
-		"QLhrkwQX":                             -9.016998,
-		"QNinm":                                -9.016998,
-		"QQ":                                   -9.016998,
-		"QQQ":                                  -9.016998,
-		"QS":                                   -9.016998,
-		"QU":                                   -9.016998,
-		"QU/vug":                               -9.016998,
-		"QV":                                   -9.016998,
-		"QVLdW":                                -9.016998,
-		"QZdvAgwnE":                            -9.016998,
-		"QaMLRPw":                              -9.016998,
-		"Qbt/th":                               -9.016998,
-		"QcyJ":                                 -9.016998,
-		"Qe":                                   -8.323851,
-		"Qh":                                   -8.323851,
-		"QhG/NAjqJoIQntOKRgHO/eS":              -9.016998,
-		"Qiu":                                  -9.016998,
-		"QjbY":                                 -9.016998,
-		"Qkhnx":                                -9.016998,
-		"QltFpT":                               -9.016998,
-		"QntlaMa":                              -9.016998,
-		"QroPcdUZp":                            -9.016998,
-		"Qtqv":                                 -9.016998,
-		"QttBH":                                -9.016998,
-		"QuNLjkEQlSaJfAul":                     -9.016998,
-		"QwtP":                                 -9.016998,
-		"Qyl":                                  -9.016998,
-		"QzFCfm":                               -9.016998,
-		"Qzwhm":                                -9.016998,
-		"R":                                    -6.532092,
-		"R/HkiMq":                              -9.016998,
-		"R/ULKXB":                              -9.016998,
-		"RAauZ":                                -9.016998,
-		"RBENV_VERSION":                        -9.016998,
-		"RBy":                                  -9.016998,
-		"RByhF":                                -9.016998,
-		"RDAYIQl":                              -9.016998,
-		"RDixlMLk":                             -9.016998,
-		"RDkRbcHxGjPifJruygA":                  -9.016998,
-		"REBYW":                                -9.016998,
-		"RIdbN":                                -9.016998,
-		"RKfePr":                               -9.016998,
-		"RLtk":                                 -9.016998,
-		"RNGjg":                                -9.016998,
-		"RNef":                                 -9.016998,
-		"ROII":                                 -9.016998,
-		"ROOTDIRS":                             -8.323851,
-		"ROj":                                  -9.016998,
-		"ROrriCjA":                             -9.016998,
-		"RPuo":                                 -9.016998,
-		"RQayK":                                -9.016998,
-		"RRRA/zUYzhs":                          -9.016998,
-		"RTC":                                  -9.016998,
-		"RU":                                   -9.016998,
-		"RUrrz":                                -9.016998,
-		"RV":                                   -9.016998,
-		"RVmBjn":                               -9.016998,
-		"RW":                                   -9.016998,
-		"RWw":                                  -9.016998,
-		"RYqC":                                 -9.016998,
-		"RZfN":                                 -9.016998,
-		"Rb":                                   -9.016998,
-		"RbkUT":                                -9.016998,
-		"RdseRynoR":                            -9.016998,
-		"RdwpSQ":                               -9.016998,
-		"Re":                                   -9.016998,
-		"RebdPzS":                              -9.016998,
-		"Rg":                                   -9.016998,
-		"RgsMcQvh":                             -9.016998,
-		"RgwUEAvY":                             -9.016998,
-		"RgxkfgnHgiwr":                         -9.016998,
-		"Rh":                                   -9.016998,
-		"RhT":                                  -9.016998,
-		"RiJzjeD/PdqBhQyG":                     -9.016998,
-		"RikZk":                                -9.016998,
-		"RnHfuX":                               -9.016998,
-		"RnR":                                  -9.016998,
-		"Rpnt":                                 -9.016998,
-		"Rr":                                   -9.016998,
-		"Rs":                                   -9.016998,
-		"Rt":                                   -9.016998,
-		"RtJCNHQdabeHXKzpbhqip":                -9.016998,
-		"RtZzT":                                -9.016998,
-		"Ru":                                   -9.016998,
-		"RuZ":                                  -9.016998,
-		"RvM":                                  -9.016998,
-		"RvMNhL":                               -9.016998,
-		"RvwaVL":                               -9.016998,
-		"Rws":                                  -9.016998,
-		"RyLpd":                                -9.016998,
-		"RzcdA":                                -9.016998,
-		"S":                                    -6.126627,
-		"S/":                                   -7.407560,
-		"S/de":                                 -9.016998,
-		"SAVED":                                -9.016998,
-		"SAVEHIST":                             -8.323851,
-		"SB":                                   -9.016998,
-		"SBT_OPTS":                             -9.016998,
-		"SBgG":                                 -9.016998,
-		"SBk":                                  -9.016998,
-		"SC":                                   -8.323851,
-		"SCQQmxlMAA":                           -9.016998,
-		"SCREENDIR":                            -8.323851,
-		"SDp":                                  -9.016998,
-		"SDppzBF":                              -9.016998,
-		"SDqMkaQlGAjpSdBNidScKudHwaPS":         -9.016998,
-		"SEP":                                  -9.016998,
-		"SFypF":                                -9.016998,
-		"SHEBANG#!bash":                        -6.714413,
-		"SHEBANG#!rc":                          -8.323851,
-		"SHEBANG#!sh":                          -7.918386,
-		"SHEBANG#!zsh":                         -8.323851,
-		"SHeTwerZf":                            -9.016998,
-		"SM":                                   -9.016998,
-		"SMIZMwuH":                             -9.016998,
-		"SMNmAFz":                              -9.016998,
-		"SMflfiy":                              -9.016998,
-		"SN/":                                  -9.016998,
-		"SNAPSHOT":                             -7.918386,
-		"SNr":                                  -9.016998,
-		"SO":                                   -9.016998,
-		"SOJ":                                  -9.016998,
-		"SQFBHRCDUf":                           -9.016998,
-		"SSIVORcM/EZxyR":                       -9.016998,
-		"SUIH":                                 -9.016998,
-		"SUtn":                                 -9.016998,
-		"SVoqK/guvXQ":                          -9.016998,
-		"SWBXtLPs":                             -9.016998,
-		"SXyc":                                 -9.016998,
-		"SaUnGg":                               -9.016998,
-		"SahvlDv":                              -9.016998,
-		"SauWLEMco":                            -9.016998,
-		"Sb":                                   -9.016998,
-		"SbHZGDQ":                              -9.016998,
-		"Sc":                                   -9.016998,
-		"ScnIeB":                               -9.016998,
-		"SesrncfS":                             -9.016998,
-		"SfmkCFtMNlMx":                         -9.016998,
-		"Sidvm":                                -9.016998,
-		"SjIG":                                 -9.016998,
-		"SjZFlSmVR":                            -9.016998,
-		"SjkQGNvkq/Xu":                         -9.016998,
-		"Skdqmu":                               -9.016998,
-		"Sls":                                  -9.016998,
-		"SnogjoD/WBcU":                         -9.016998,
-		"So":                                   -9.016998,
-		"Some":                                 -9.016998,
-		"Sp/MzBaYsY":                           -9.016998,
-		"SshmqwhtRBTVzCmPRlCFvK":               -9.016998,
-		"SvM":                                  -9.016998,
-		"T":                                    -6.714413,
-		"T/ZbL":                                -9.016998,
-		"TAE":                                  -9.016998,
-		"TAStl":                                -9.016998,
-		"TBBw":                                 -9.016998,
-		"TBQ":                                  -9.016998,
-		"TCKuoAXkvpG":                          -9.016998,
-		"TCtXYXS":                              -9.016998,
-		"TDheA":                                -9.016998,
-		"TEB":                                  -9.016998,
-		"TERM":                                 -7.630704,
-		"TEh":                                  -9.016998,
-		"TFLNA":                                -9.016998,
-		"TFl":                                  -9.016998,
-		"TGhZhuQqir":                           -9.016998,
-		"THMaryA":                              -9.016998,
-		"TI":                                   -9.016998,
-		"TIcat":                                -9.016998,
-		"TJqjC/Gr":                             -9.016998,
-		"TK":                                   -9.016998,
-		"TLQL":                                 -9.016998,
-		"TLTOcA":                               -9.016998,
-		"TM":                                   -9.016998,
-		"TMDRC":                                -9.016998,
-		"TMaEDwlPKP":                           -9.016998,
-		"TNKl":                                 -9.016998,
-		"TNiXWcCw":                             -9.016998,
-		"TOovVKyb":                             -9.016998,
-		"TPDR":                                 -9.016998,
-		"TQGs/":                                -9.016998,
-		"TQJMICWeP":                            -9.016998,
-		"TQuI":                                 -9.016998,
-		"TREfiLuNzaO":                          -9.016998,
-		"TTaXdfxs":                             -9.016998,
-		"TThcDiCQYaa":                          -9.016998,
-		"TTvTr/UZaZ":                           -9.016998,
-		"TVRerS":                               -9.016998,
-		"TXnwa":                                -9.016998,
-		"TYMLk":                                -9.016998,
-		"TYTP":                                 -9.016998,
-		"TYfxv":                                -9.016998,
-		"Tc":                                   -9.016998,
-		"TcLLXg":                               -9.016998,
-		"Tcv":                                  -9.016998,
-		"Tdt":                                  -9.016998,
-		"TejNL":                                -9.016998,
-		"Testing":                              -9.016998,
-		"Tf":                                   -9.016998,
-		"TfV":                                  -9.016998,
-		"TgIN":                                 -9.016998,
-		"TgR/sEE":                              -9.016998,
-		"TgX":                                  -9.016998,
-		"ThisBuild":                            -8.323851,
-		"TkrYL":                                -9.016998,
-		"TlP":                                  -9.016998,
-		"Tmr":                                  -9.016998,
-		"TnRf":                                 -9.016998,
-		"TnYlW":                                -9.016998,
-		"To":                                   -9.016998,
-		"TpqOy":                                -9.016998,
-		"Tr":                                   -9.016998,
-		"TrEdw":                                -9.016998,
-		"TrpOE":                                -9.016998,
-		"TtYy":                                 -9.016998,
-		"Tutf":                                 -9.016998,
-		"TvLzfJxiEQdSvXmjiBK":                  -9.016998,
-		"TvSXE":                                -9.016998,
-		"TwT":                                  -9.016998,
-		"TwW":                                  -9.016998,
-		"Ty":                                   -9.016998,
-		"TzOncuWqiFtmGypy":                     -9.016998,
-		"Tzf":                                  -9.016998,
-		"U":                                    -7.407560,
-		"UCL":                                  -9.016998,
-		"UID":                                  -9.016998,
-		"UMavn":                                -9.016998,
-		"URL":                                  -9.016998,
-		"USPmuYpmiCQQfdfIr":                    -9.016998,
-		"UUiNCfgpHvbOhdt/cQl":                  -9.016998,
-		"UbkEtk/Y/uKoX":                        -9.016998,
-		"UiDGsAfKVIPkSadOgbFpOesB":             -9.016998,
-		"Update":                               -9.016998,
-		"Updated":                              -9.016998,
-		"Usage":                                -8.323851,
-		"Uwg":                                  -9.016998,
-		"Uwmp":                                 -9.016998,
-		"V":                                    -6.452049,
-		"VCA/uERcvzYPQEGcyBbPAq":               -9.016998,
-		"VChKnOjo":                             -9.016998,
-		"VEcSwy":                               -9.016998,
-		"VFJ":                                  -9.016998,
-		"VGGzPv":                               -9.016998,
-		"VGy":                                  -9.016998,
-		"VHt":                                  -9.016998,
-		"VIXtrKVuJNezk":                        -9.016998,
-		"VIlAX":                                -9.016998,
-		"VJAGYKW":                              -9.016998,
-		"VKEyV":                                -9.016998,
-		"VLTLAggv":                             -9.016998,
-		"VLYTajusPiqblu":                       -9.016998,
-		"VLq/yojKlo":                           -9.016998,
-		"VM":                                   -9.016998,
-		"VNMT/P":                               -9.016998,
-		"VOEg":                                 -9.016998,
-		"VPF/X":                                -9.016998,
-		"VQgUT":                                -9.016998,
-		"VSM":                                  -9.016998,
-		"VSZyFcxH":                             -9.016998,
-		"VTW":                                  -9.016998,
-		"VTZdpIn":                              -9.016998,
-		"VUsPxHD":                              -9.016998,
-		"VVtHLzV":                              -9.016998,
-		"VVxPaquuZYlLpdwdRmPoT":                -9.016998,
-		"VWcXp":                                -9.016998,
-		"VY":                                   -9.016998,
-		"VYIPWOxcsRCSyTQVUj":                   -9.016998,
-		"VZQOaURbCVXj":                         -9.016998,
-		"Vad/":                                 -9.016998,
-		"VdhxeKUCY/fhTAXGQcKLF":                -9.016998,
-		"VfoiKU/u":                             -9.016998,
-		"Vg":                                   -9.016998,
-		"VgHo/gnsqWhUAC/x":                     -9.016998,
-		"Vgq":                                  -9.016998,
-		"VgzqSxTUoUcc":                         -9.016998,
-		"Vj":                                   -9.016998,
-		"VjViJngFIfUtArBLFY":                   -9.016998,
-		"VmZ":                                  -9.016998,
-		"VnSPJlMCUj":                           -9.016998,
-		"VpbdVNyZugjZU":                        -9.016998,
-		"VpfKr":                                -9.016998,
-		"VprwgmRPvqHzr":                        -9.016998,
-		"Vq":                                   -8.323851,
-		"VqHLwxmZ":                             -9.016998,
-		"VqkuYpA":                              -9.016998,
-		"VsdLvMRLvPx":                          -9.016998,
-		"VtCDcekmG":                            -9.016998,
-		"VtzHs":                                -9.016998,
-		"VuKnaVsLyoqghgslNFvatjo/gWYeupj":      -9.016998,
-		"VvBiC":                                -9.016998,
-		"VvKQe":                                -9.016998,
-		"VzQfnMcq":                             -9.016998,
-		"W":                                    -6.126627,
-		"W/UY":                                 -9.016998,
-		"WAAFoAAA":                             -9.016998,
-		"WAtHSzwb":                             -9.016998,
-		"WBA":                                  -9.016998,
-		"WFoAAATm":                             -9.016998,
-		"WGo":                                  -9.016998,
-		"WI":                                   -9.016998,
-		"WLxAIz":                               -9.016998,
-		"WM":                                   -9.016998,
-		"WN":                                   -9.016998,
-		"WOfNZ/oLBipvJSEHo":                    -9.016998,
-		"WQdzSru":                              -9.016998,
-		"WRHCR":                                -9.016998,
-		"WS":                                   -9.016998,
-		"WSCQGcz":                              -9.016998,
-		"WSO":                                  -9.016998,
-		"WTVZaeBmmXqKyulZ":                     -9.016998,
-		"WUGdLEz":                              -9.016998,
-		"WWNpTYJkf":                            -9.016998,
-		"WZmOOq":                               -9.016998,
-		"WcDJUk":                               -9.016998,
-		"WcuDlp":                               -9.016998,
-		"WdYfmPxj":                             -9.016998,
-		"WfQN":                                 -9.016998,
-		"Whktj":                                -9.016998,
-		"Wio":                                  -9.016998,
-		"Wj":                                   -9.016998,
-		"WkKjRd":                               -9.016998,
-		"WkzXYTMr":                             -9.016998,
-		"WlAkpVVal":                            -9.016998,
-		"WlI":                                  -9.016998,
-		"Wm":                                   -8.323851,
-		"Wmd":                                  -9.016998,
-		"Wnssg":                                -9.016998,
-		"WocVqx":                               -9.016998,
-		"WojbcwoGFC":                           -9.016998,
-		"Wp":                                   -9.016998,
-		"WpGRgHCRRjo":                          -9.016998,
-		"WpXeOUG":                              -9.016998,
-		"WqgSWMgAY":                            -9.016998,
-		"WsjJWxMlbGymXlKYH":                    -9.016998,
-		"Wud":                                  -9.016998,
-		"WuslegiREhKuplHVWcZjitZ/F":            -9.016998,
-		"Ww":                                   -9.016998,
-		"WwcumHK":                              -9.016998,
-		"WwmYA":                                -9.016998,
-		"WyrU":                                 -9.016998,
-		"WzXk":                                 -9.016998,
-		"X":                                    -4.797491,
-		"X/NHCNA":                              -9.016998,
-		"X/XqZ":                                -9.016998,
-		"X/XvhQHPtvwZbbW":                      -9.016998,
-		"XAd":                                  -9.016998,
-		"XBEkBa":                               -9.016998,
-		"XBHFu":                                -9.016998,
-		"XBQNa":                                -9.016998,
-		"XBhP":                                 -9.016998,
-		"XDuu":                                 -9.016998,
-		"XEBCyqd":                              -9.016998,
-		"XFCoVG":                               -9.016998,
-		"XIUGYqs":                              -9.016998,
-		"XJ":                                   -9.016998,
-		"XKQOeRbu":                             -9.016998,
-		"XKpyzGejc":                            -9.016998,
-		"XNykwvjRuFmF":                         -9.016998,
-		"XOqJVcAisOU":                          -9.016998,
-		"XOxHJk":                               -9.016998,
-		"XPGeuwDXGRlnKAHfhgkK":                 -9.016998,
-		"XPwVMw":                               -9.016998,
-		"XQRk":                                 -9.016998,
-		"XST":                                  -9.016998,
-		"XTJ":                                  -9.016998,
-		"XTMLqI":                               -9.016998,
-		"XTUIfl":                               -9.016998,
-		"XVwlOFv":                              -9.016998,
-		"XWvCdKQ/OpFkmcLvwlIgzITfAWoOaAcMiXtYbRWcGN": -9.016998,
-		"XXuSoRWUL/":                       -9.016998,
-		"XYBph":                            -9.016998,
-		"XZD":                              -9.016998,
-		"XadN":                             -9.016998,
-		"XblImKcB":                         -9.016998,
-		"XcpEX":                            -9.016998,
-		"Xe":                               -8.323851,
-		"XeJ":                              -9.016998,
-		"XeYCGI/RxbZ":                      -9.016998,
-		"Xf":                               -9.016998,
-		"XgJuBax":                          -9.016998,
-		"XgXj":                             -9.016998,
-		"XhG":                              -9.016998,
-		"Xm":                               -9.016998,
-		"XmeNhRoHMbbwBJNmyRXC":             -9.016998,
-		"XpvM":                             -9.016998,
-		"Xq":                               -9.016998,
-		"XqbUpcRSR":                        -9.016998,
-		"XrZ":                              -9.016998,
-		"Xrs":                              -9.016998,
-		"Xs":                               -9.016998,
-		"XsGLJfXFX":                        -9.016998,
-		"XsvJSds":                          -9.016998,
-		"XuD":                              -9.016998,
-		"Xulz":                             -9.016998,
-		"XveOeeer":                         -9.016998,
-		"XxiB":                             -9.016998,
-		"XyC":                              -9.016998,
-		"Y":                                -6.377941,
-		"YA":                               -9.016998,
-		"YBOmBdhkq":                        -9.016998,
-		"YBxahqIoEJ":                       -9.016998,
-		"YCPQER":                           -9.016998,
-		"YEB":                              -9.016998,
-		"YF":                               -8.323851,
-		"YHE":                              -9.016998,
-		"YHPVnV":                           -9.016998,
-		"YIKGtsyYpRMl":                     -9.016998,
-		"YJJN":                             -9.016998,
-		"YJPSKYO":                          -9.016998,
-		"YJySVUuaUoC":                      -9.016998,
-		"YKcXtsMYf":                        -9.016998,
-		"YKyH":                             -9.016998,
-		"YL":                               -9.016998,
-		"YLi":                              -9.016998,
-		"YMO":                              -9.016998,
-		"YMuqdQzPouS":                      -9.016998,
-		"YNYa":                             -9.016998,
-		"YNrbXlF":                          -9.016998,
-		"YODTaCi":                          -9.016998,
-		"YSq/bHJAhdf":                      -9.016998,
-		"YTxCMO":                           -9.016998,
-		"YUqBvd":                           -9.016998,
-		"YV":                               -9.016998,
-		"YVL":                              -9.016998,
-		"YWsXJgKgZSnSI":                    -9.016998,
-		"YXIA":                             -9.016998,
-		"YXIp":                             -9.016998,
-		"YXQHPWuVdrASXk":                   -9.016998,
-		"YYDdRS":                           -9.016998,
-		"YZaRvVA":                          -9.016998,
-		"YZtaLDdY":                         -9.016998,
-		"YayS":                             -9.016998,
-		"Yb":                               -9.016998,
-		"YbP":                              -9.016998,
-		"YcBHEM":                           -9.016998,
-		"YcVhpByaWHowUxmg":                 -9.016998,
-		"YcXxz/S":                          -9.016998,
-		"Ycb":                              -9.016998,
-		"YcmS":                             -9.016998,
-		"YdYacrh":                          -9.016998,
-		"Ye":                               -9.016998,
-		"YhIrpgBbgk/BFlXRUrDs":             -9.016998,
-		"Yhmj":                             -9.016998,
-		"Yjusqbj/":                         -9.016998,
-		"YlVIZoEUCFg":                      -9.016998,
-		"Ym":                               -9.016998,
-		"YmqO/oW":                          -9.016998,
-		"Ymqem":                            -9.016998,
-		"YnR":                              -9.016998,
-		"YocYk/":                           -9.016998,
-		"YpovLbg":                          -9.016998,
-		"YsPMf":                            -9.016998,
-		"YuJBvUBsguFuV":                    -9.016998,
-		"Yy":                               -9.016998,
-		"YyLsnE/lmT":                       -9.016998,
-		"YyY":                              -9.016998,
-		"Z":                                -6.183785,
-		"ZAzTmb":                           -9.016998,
-		"ZB":                               -9.016998,
-		"ZC":                               -9.016998,
-		"ZCxHljbiqwR":                      -9.016998,
-		"ZF":                               -9.016998,
-		"ZFkZgS/wJSJJcD":                   -9.016998,
-		"ZGN":                              -9.016998,
-		"ZGniGE":                           -9.016998,
-		"ZIWnmGnmIYo":                      -9.016998,
-		"ZKBhUHIMFJxXnhZwLpLpM":            -9.016998,
-		"ZKCJxhyI":                         -9.016998,
-		"ZMUMk":                            -9.016998,
-		"ZOkW":                             -9.016998,
-		"ZQxID":                            -9.016998,
-		"ZRP":                              -9.016998,
-		"ZS/":                              -9.016998,
-		"ZTMFdx":                           -9.016998,
-		"ZTOI":                             -9.016998,
-		"ZWfmj":                            -9.016998,
-		"ZYjEgvXdsT":                       -9.016998,
-		"ZZSuh":                            -9.016998,
-		"ZZgAJLgl":                         -9.016998,
-		"Zb":                               -9.016998,
-		"ZbGidQ":                           -9.016998,
-		"ZcKioc":                           -9.016998,
-		"Ze":                               -9.016998,
-		"Zenb":                             -9.016998,
-		"Zf":                               -9.016998,
-		"ZgFDOEouGakDF":                    -9.016998,
-		"ZgHhwB":                           -9.016998,
-		"ZgPDQ":                            -9.016998,
-		"ZgtptmjdEB":                       -9.016998,
-		"ZgwULu":                           -9.016998,
-		"ZhQ":                              -9.016998,
-		"Zhqjp":                            -9.016998,
-		"ZkfV":                             -9.016998,
-		"Zko":                              -9.016998,
-		"ZlJGXMmiMw":                       -9.016998,
-		"Zm":                               -9.016998,
-		"ZnpnbSikda":                       -9.016998,
-		"Znw":                              -9.016998,
-		"ZoJ":                              -9.016998,
-		"Zp":                               -9.016998,
-		"ZpucyvnbTLiaEwPOEfnGEiLdy":        -9.016998,
-		"ZqEOdYuObmLeqBfgNIb":              -9.016998,
-		"ZqtfD":                            -9.016998,
-		"ZrTZquN":                          -9.016998,
-		"Zt":                               -9.016998,
-		"ZtFt":                             -9.016998,
-		"ZuwsSEcg/heAhSoEEvTQfOgqDrZv":     -9.016998,
-		"ZvWnqPIFg":                        -9.016998,
-		"Zw":                               -9.016998,
-		"Zwo":                              -9.016998,
-		"Zxspbgncu":                        -9.016998,
-		"ZyAAHePIC":                        -9.016998,
-		"Zyad":                             -9.016998,
-		"ZzcP":                             -9.016998,
-		"[":                                -4.634972,
-		"\\":                               -6.072559,
-		"]":                                -4.634972,
-		"^":                                -6.714413,
-		"_":                                -9.016998,
-		"_gitname":                         -9.016998,
-		"_gitroot":                         -9.016998,
-		"`":                                -5.615801,
-		"a":                                -5.881504,
-		"a/":                               -8.323851,
-		"a//Zrdqq":                         -9.016998,
-		"aBG/Dwc":                          -9.016998,
-		"aCe":                              -9.016998,
-		"aD":                               -9.016998,
-		"aDTORYfxpEyer":                    -9.016998,
-		"aEbmpH":                           -9.016998,
-		"aErrmJO":                          -9.016998,
-		"aF":                               -9.016998,
-		"aFvDEkgyVNrHt":                    -9.016998,
-		"aHDd":                             -9.016998,
-		"aI":                               -9.016998,
-		"aIE":                              -9.016998,
-		"aKiWDppD":                         -9.016998,
-		"aLBKdSGNVpHqPwdbR":                -9.016998,
-		"aLEbifrm":                         -9.016998,
-		"aLwEyd/XxmXuuoZKhAbHgglQmSz":      -9.016998,
-		"aN":                               -9.016998,
-		"aONiaqKzt":                        -9.016998,
-		"aOXvv/qh":                         -9.016998,
-		"aPnewso":                          -9.016998,
-		"aQaIJ":                            -9.016998,
-		"aR":                               -9.016998,
-		"aRDRHvjXsZwDOb":                   -9.016998,
-		"aT":                               -9.016998,
-		"aTnRu":                            -9.016998,
-		"aVObYdAXbLNtPS":                   -9.016998,
-		"aW":                               -9.016998,
-		"aXSLzgbtyNKVKd":                   -9.016998,
-		"aY":                               -9.016998,
-		"ab":                               -9.016998,
-		"abHvan":                           -9.016998,
-		"abrZZtNNs":                        -9.016998,
-		"acgsR":                            -9.016998,
-		"acme":                             -9.016998,
-		"acquire_sbt_jar":                  -9.016998,
-		"acroread":                         -8.323851,
-		"across":                           -8.323851,
-		"actual":                           -9.016998,
-		"adWx":                             -9.016998,
-		"add":                              -9.016998,
-		"addJava":                          -7.918386,
-		"addResidual":                      -9.016998,
-		"addSbt":                           -6.819774,
-		"addScalac":                        -9.016998,
-		"aduSn":                            -9.016998,
-		"ae":                               -9.016998,
-		"aerAn":                            -9.016998,
-		"af":                               -9.016998,
-		"afNkmt":                           -9.016998,
-		"afsgiF/uNyCUcYv":                  -9.016998,
-		"ahh":                              -9.016998,
-		"ahjC":                             -9.016998,
-		"ahlzDuPZtxD":                      -9.016998,
-		"ahnkVYgybH":                       -9.016998,
-		"ahv":                              -9.016998,
-		"aitqtyrXrY":                       -9.016998,
-		"al":                               -9.016998,
-		"alert":                            -9.016998,
-		"alias":                            -4.922654,
-		"alternate":                        -9.016998,
-		"amVzNRJwER":                       -9.016998,
-		"an":                               -8.323851,
-		"any":                              -9.016998,
-		"anyway":                           -9.016998,
-		"aoOiehOacC":                       -9.016998,
-		"aoyzbAwoLPUZKCynTAZyydbppJL":      -9.016998,
-		"ap":                               -9.016998,
-		"appear":                           -9.016998,
-		"append":                           -8.323851,
-		"appendhistory":                    -8.323851,
-		"aqSfDaohF":                        -9.016998,
-		"aqxlNTVhGeepfYGJ":                 -9.016998,
-		"arch":                             -9.016998,
-		"areOWJzCaP":                       -9.016998,
-		"arg":                              -7.225239,
-		"args":                             -5.085173,
-		"argument":                         -9.016998,
-		"argumentCount":                    -9.016998,
-		"argumentCount=":                   -9.016998,
-		"artifactory":                      -9.016998,
-		"as":                               -9.016998,
-		"ass":                              -9.016998,
-		"at":                               -8.323851,
-		"aviplay":                          -8.323851,
-		"awk":                              -8.323851,
-		"awsWGmhNAzTmYV":                   -9.016998,
-		"awzy":                             -9.016998,
-		"axKYbGRP":                         -9.016998,
-		"ayNcxm":                           -9.016998,
-		"az":                               -9.016998,
-		"b":                                -6.126627,
-		"bBnmvnRcXnAY":                     -9.016998,
-		"bCUccssqyusXAwTySQSyekZyWNZmhgna": -9.016998,
-		"bCi":                              -9.016998,
-		"bE":                               -9.016998,
-		"bEnCak":                           -9.016998,
-		"bF":                               -9.016998,
-		"bFB":                              -9.016998,
-		"bFnrkhL":                          -9.016998,
-		"bGF":                              -9.016998,
-		"bIAuDJfFDGih":                     -9.016998,
-		"bIuS":                             -9.016998,
-		"bK":                               -9.016998,
-		"bKeILa":                           -9.016998,
-		"bMe":                              -9.016998,
-		"bO":                               -9.016998,
-		"bOD":                              -9.016998,
-		"bONmEKZm/ZGpHSTuJM":               -9.016998,
-		"bPb":                              -9.016998,
-		"bQD":                              -9.016998,
-		"bQpipn":                           -9.016998,
-		"bTFdshisX":                        -9.016998,
-		"bVkZFZxP":                         -9.016998,
-		"bWKmVvUd":                         -9.016998,
-		"bXVFqL":                           -9.016998,
-		"bXXtZprO":                         -9.016998,
-		"bZ":                               -9.016998,
-		"bZS":                              -9.016998,
-		"bare":                             -9.016998,
-		"base":                             -9.016998,
-		"basename":                         -8.323851,
-		"bash":                             -7.630704,
-		"bbIOoTBLcObjnqF":                  -9.016998,
-		"bcKbVL":                           -9.016998,
-		"bdBBYBJgdTp":                      -9.016998,
-		"be":                               -7.918386,
-		"beCyRSf":                          -9.016998,
-		"bejLQXtltlP":                      -9.016998,
-		"bematRH":                          -9.016998,
-		"bg":                               -8.323851,
-		"bgI":                              -9.016998,
-		"bgIREfqWlxJO":                     -9.016998,
-		"bgQVCeBNlUHqLPfFyYr":              -9.016998,
-		"bgv":                              -9.016998,
-		"bh":                               -9.016998,
-		"bigdy":                            -9.016998,
-		"bih":                              -9.016998,
-		"bin":                              -8.323851,
-		"bind":                             -8.323851,
-		"binding":                          -8.323851,
-		"bjZhBY":                           -9.016998,
-		"blYZoOzn":                         -9.016998,
-		"blzHLuNMOMyfGwBfBxPKxaOjy":        -9.016998,
-		"bntpPSw":                          -9.016998,
-		"boFY":                             -9.016998,
-		"bottles":                          -7.225239,
-		"bpJDOzoF/C":                       -9.016998,
-		"bqRwxqK":                          -9.016998,
-		"brkNlA":                           -9.016998,
-		"bs":                               -9.016998,
-		"bsPCVB":                           -9.016998,
-		"btdR":                             -9.016998,
-		"btgDRqDq":                         -9.016998,
-		"buiLa":                            -9.016998,
-		"build":                            -7.407560,
-		"build.scala.versions":             -9.016998,
-		"build_props_sbt":                  -7.918386,
-		"build_props_scala":                -9.016998,
-		"bunzip":                           -8.323851,
-		"bvN":                              -9.016998,
-		"bw":                               -9.016998,
-		"by":                               -8.323851,
-		"byXG":                             -9.016998,
-		"byiefmwKixcfEy":                   -9.016998,
-		"bzbjycsKfKHTRQwaF":                -9.016998,
-		"bzcat":                            -8.323851,
-		"bzcmp":                            -8.323851,
-		"bzdiff":                           -8.323851,
-		"bzegrep":                          -8.323851,
-		"bzfgrep":                          -8.323851,
-		"bzgrep":                           -8.323851,
-		"bzme":                             -8.323851,
-		"c":                                -6.377941,
-		"cA":                               -9.016998,
-		"cAvnm":                            -9.016998,
-		"cB":                               -9.016998,
-		"cBk":                              -9.016998,
-		"cC":                               -9.016998,
-		"cCWWMcwiUy":                       -9.016998,
-		"cD":                               -9.016998,
-		"cF":                               -9.016998,
-		"cFRVln":                           -9.016998,
-		"cFw":                              -9.016998,
-		"cG":                               -9.016998,
-		"cGNN":                             -9.016998,
-		"cH":                               -9.016998,
-		"cHOcx":                            -9.016998,
-		"cHxs":                             -9.016998,
-		"cIt":                              -9.016998,
-		"cK/":                              -9.016998,
-		"cMtg":                             -9.016998,
-		"cNIRgK":                           -9.016998,
-		"cNeEruRVcuz":                      -9.016998,
-		"cNtjrGlYf":                        -9.016998,
-		"cOjg":                             -9.016998,
-		"cPQqf":                            -9.016998,
-		"cPY":                              -9.016998,
-		"cQz":                              -9.016998,
-		"cUArwv":                           -9.016998,
-		"cUJvTIdpx":                        -9.016998,
-		"cUuGkeNWmrbU":                     -9.016998,
-		"cW":                               -9.016998,
-		"cWLiFnVYYkeoX":                    -9.016998,
-		"cWjo":                             -9.016998,
-		"cX":                               -9.016998,
-		"cXtycdLd":                         -9.016998,
-		"cZd":                              -9.016998,
-		"cZlv":                             -9.016998,
-		"cZr":                              -9.016998,
-		"can":                              -9.016998,
-		"case":                             -5.328119,
-		"cat":                              -7.918386,
-		"category":                         -9.016998,
-		"cb":                               -9.016998,
-		"cbeSL":                            -9.016998,
-		"ccxwW":                            -9.016998,
-		"cd":                               -6.714413,
-		"cd..":                             -8.323851,
-		"ce":                               -9.016998,
-		"ceV":                              -9.016998,
-		"cf/B":                             -9.016998,
-		"cgOZFEMxUCrUcCrefoDSTQZiDQ":       -9.016998,
-		"cgUtwP":                           -9.016998,
-		"cgi":                              -9.016998,
-		"chattier":                         -9.016998,
-		"choice":                           -9.016998,
-		"ci":                               -9.016998,
-		"cjAyWUQsGCWODPAm":                 -9.016998,
-		"cjBrTwtgFrwJ":                     -9.016998,
-		"ckbzIJ":                           -9.016998,
-		"clN":                              -9.016998,
-		"clYltBOtpPnmBbbcIF":               -9.016998,
-		"cljAmU":                           -9.016998,
-		"clone":                            -8.323851,
-		"cmake":                            -9.016998,
-		"cmd":                              -6.937557,
-		"cnrZNpdTF":                        -9.016998,
-		"cnre":                             -9.016998,
-		"coRCTIFoiEhtJW":                   -9.016998,
-		"codecache":                        -9.016998,
-		"codes":                            -9.016998,
-		"col":                              -9.016998,
-		"color":                            -9.016998,
-		"colors":                           -9.016998,
-		"combined":                         -9.016998,
-		"command":                          -8.323851,
-		"complete":                         -4.686265,
-		"compress":                         -8.323851,
-		"config":                           -8.323851,
-		"conflicts":                        -9.016998,
-		"contains":                         -9.016998,
-		"contents":                         -9.016998,
-		"continue":                         -9.016998,
-		"coxWa":                            -9.016998,
-		"cq":                               -9.016998,
-		"cqOVrgsTpS":                       -9.016998,
-		"cqr":                              -9.016998,
-		"create":                           -7.918386,
-		"crinyH":                           -9.016998,
-		"crontab":                          -9.016998,
-		"ctSvevDf/":                        -9.016998,
-		"curl":                             -7.630704,
-		"current":                          -9.016998,
-		"cvjekfYc":                         -9.016998,
-		"cw":                               -9.016998,
-		"cwzT":                             -9.016998,
-		"cx":                               -9.016998,
-		"cxzZ/w":                           -9.016998,
-		"cy":                               -9.016998,
-		"cygwin":                           -7.918386,
-		"cza":                              -9.016998,
-		"d":                                -5.925956,
-		"d/Jkr":                            -9.016998,
-		"d/Q":                              -9.016998,
-		"dAFZdr":                           -9.016998,
-		"dBnSJ/K":                          -9.016998,
-		"dC":                               -9.016998,
-		"dClDCnHyr":                        -9.016998,
-		"dDNLdtswCUKK":                     -9.016998,
-		"dE":                               -9.016998,
-		"dF":                               -9.016998,
-		"dFGW":                             -9.016998,
-		"dFmRhw":                           -9.016998,
-		"dGjxsibduwmxrJ":                   -9.016998,
-		"dGtmzELZiGVYYUS":                  -9.016998,
-		"dJrzfOUkukDI//SSduKpINv":          -9.016998,
-		"dJvJ":                             -9.016998,
-		"dMCt":                             -9.016998,
-		"dN":                               -8.323851,
-		"dO":                               -9.016998,
-		"dOI":                              -9.016998,
-		"dP/xhWvSTfzYlQpI":                 -9.016998,
-		"dPMcr":                            -9.016998,
-		"dQ":                               -9.016998,
-		"dQq":                              -9.016998,
-		"dTsX":                             -9.016998,
-		"dU":                               -9.016998,
-		"dUL":                              -9.016998,
-		"dUNFH":                            -9.016998,
-		"dV":                               -9.016998,
-		"dXqGrhru":                         -9.016998,
-		"dYic/TR":                          -9.016998,
-		"darwin":                           -7.918386,
-		"day":                              -9.016998,
-		"dd":                               -9.016998,
-		"ddYoR":                            -9.016998,
-		"deZg":                             -9.016998,
-		"debug":                            -7.071088,
-		"declare":                          -5.925956,
-		"default":                          -9.016998,
-		"default_jvm_opts":                 -9.016998,
-		"default_sbt_mem":                  -8.323851,
-		"default_sbt_opts":                 -9.016998,
-		"depends":                          -9.016998,
-		"descriptor":                       -8.323851,
-		"die":                              -8.323851,
-		"diff":                             -8.323851,
-		"dillo":                            -8.323851,
-		"dir":                              -7.225239,
-		"directly":                         -8.323851,
-		"directory":                        -7.918386,
-		"dirname":                          -8.323851,
-		"dirpersiststore":                  -8.323851,
-		"disable":                          -9.016998,
-		"disk":                             -7.407560,
-		"disk/kfs":                         -9.016998,
-		"disown":                           -8.323851,
-		"display":                          -8.323851,
-		"dk":                               -9.016998,
-		"dkd":                              -9.016998,
-		"dlog":                             -7.918386,
-		"dmAgzT":                           -9.016998,
-		"dnsL":                             -9.016998,
-		"do":                               -6.937557,
-		"doctype":                          -9.016998,
-		"does.":                            -9.016998,
-		"done":                             -6.937557,
-		"drg":                              -9.016998,
-		"dt":                               -9.016998,
-		"dtgAy":                            -9.016998,
-		"duWBbHAsVpnhC/AtTvipjEHx":         -9.016998,
-		"dump":                             -7.918386,
-		"duplicates":                       -8.323851,
-		"dv":                               -8.323851,
-		"dvi":                              -8.323851,
-		"dvips":                            -8.323851,
-		"dviselect":                        -8.323851,
-		"dvitype":                          -8.323851,
-		"dw":                               -9.016998,
-		"dwzMgjllPUgLmucXgXS":              -9.016998,
-		"dx":                               -9.016998,
-		"dy":                               -9.016998,
-		"dzt":                              -9.016998,
-		"e":                                -5.721161,
-		"eAttFwTztgJ":                      -9.016998,
-		"eDBHM":                            -9.016998,
-		"eDErImYskeg":                      -9.016998,
-		"eEfaOQ":                           -9.016998,
-		"eGDp":                             -9.016998,
-		"eI":                               -9.016998,
-		"eNULX":                            -9.016998,
-		"eOdaxXfLRiT":                      -9.016998,
-		"eOfMaRbZcxhzxh":                   -9.016998,
-		"eOpKUjGUwIJA":                     -9.016998,
-		"ePdOPJf":                          -9.016998,
-		"eQd":                              -9.016998,
-		"eQyFXnfE":                         -9.016998,
-		"eR":                               -9.016998,
-		"eS":                               -8.323851,
-		"eUIx":                             -9.016998,
-		"eUij/J":                           -9.016998,
-		"eVheswxGH":                        -9.016998,
-		"eWwHJsQVqaTatzyUc":                -9.016998,
-		"eWyWMlbEyVsbKWBkrY":               -9.016998,
-		"eXKtcfcWFlVKbmGYDrjGnXfGum":       -9.016998,
-		"eY":                               -9.016998,
-		"eYlg":                             -9.016998,
-		"eZEWhnqvQa":                       -9.016998,
-		"eaXQTktoCetceUmj":                 -9.016998,
-		"ebz":                              -9.016998,
-		"eccNP":                            -9.016998,
-		"echo":                             -4.382269,
-		"echoerr":                          -7.918386,
-		"ecwT":                             -9.016998,
-		"edit":                             -9.016998,
-		"ee":                               -8.323851,
-		"eedtN":                            -9.016998,
-		"ef":                               -8.323851,
-		"efTXe/mUFbFisxet/fAQYdHQmH":       -9.016998,
-		"efmyZQ":                           -9.016998,
-		"eftXQmQXynnOGtNPHBKW":             -9.016998,
-		"egrep":                            -8.323851,
-		"eiNH":                             -9.016998,
-		"eiiEaKPjiEL":                      -9.016998,
-		"ej":                               -9.016998,
-		"ekB/V":                            -9.016998,
-		"elif":                             -7.918386,
-		"elinks":                           -8.323851,
-		"elixdn":                           -9.016998,
-		"else":                             -6.619103,
-		"emacs":                            -8.323851,
-		"endif":                            -8.323851,
-		"entries":                          -8.323851,
-		"environment":                      -7.918386,
-		"eoni":                             -9.016998,
-		"eq":                               -9.016998,
-		"eqn":                              -9.016998,
-		"eqywrGmCsV":                       -9.016998,
-		"erase":                            -8.323851,
-		"esac":                             -7.071088,
-		"etMSeNHa":                         -9.016998,
-		"etyTSYsE":                         -9.016998,
-		"eval":                             -7.630704,
-		"even":                             -9.016998,
-		"evey":                             -9.016998,
-		"ew":                               -9.016998,
-		"exKdB":                            -9.016998,
-		"exec":                             -7.918386,
-		"execRunner":                       -8.323851,
-		"exists":                           -9.016998,
-		"exit":                             -6.183785,
-		"explicit":                         -9.016998,
-		"export":                           -5.758902,
-		"expr":                             -8.323851,
-		"eyLJUcaLRz":                       -9.016998,
-		"eylG":                             -9.016998,
-		"ez":                               -9.016998,
-		"f":                                -4.712933,
-		"fYze":                             -9.016998,
-		"fZJQJcuRc":                        -9.016998,
-		"false":                            -7.071088,
-		"fg":                               -8.323851,
-		"fgrep":                            -8.323851,
-		"fi":                               -5.583011,
-		"file":                             -6.452049,
-		"fils":                             -7.225239,
-		"find":                             -8.323851,
-		"finds":                            -9.016998,
-		"fj/WyJwIEbFr":                     -9.016998,
-		"fjj":                              -9.016998,
-		"flLNFLvWvxV":                      -9.016998,
-		"fn":                               -8.323851,
-		"foodforthought.jpg":               -9.016998,
-		"for":                              -6.819774,
-		"fosVcH":                           -9.016998,
-		"fpath":                            -7.225239,
-		"freeamp":                          -8.323851,
-		"fxMFEBEP":                         -9.016998,
-		"fxMTgT":                           -9.016998,
-		"g":                                -6.308948,
-		"g/vTLUFMCXFGCf":                   -9.016998,
-		"gA":                               -9.016998,
-		"gAXlgVJSvV":                       -9.016998,
-		"gBKimHCLNYIVbRSEKnN":              -9.016998,
-		"gBcxq":                            -9.016998,
-		"gDYsG":                            -9.016998,
-		"gE":                               -9.016998,
-		"gECnVqaamiOldHqWxA":               -9.016998,
-		"gETzIkSSLoDLwEldveND":             -9.016998,
-		"gEvzDLftJlSSp":                    -9.016998,
-		"gFR":                              -9.016998,
-		"gFSWFTbnKi":                       -9.016998,
-		"gFsX":                             -9.016998,
-		"gJ":                               -9.016998,
-		"gJoa":                             -9.016998,
-		"gK":                               -9.016998,
-		"gKQUujW":                          -9.016998,
-		"gKvsYreYlj":                       -9.016998,
-		"gMbn":                             -9.016998,
-		"gNle":                             -9.016998,
-		"gOFrpRAzEfOV":                     -9.016998,
-		"gPFXIiu":                          -9.016998,
-		"gPt":                              -9.016998,
-		"gQMlUIbUW":                        -9.016998,
-		"gR":                               -9.016998,
-		"gT":                               -9.016998,
-		"gTqMOgdRj":                        -9.016998,
-		"gU":                               -9.016998,
-		"gULGpBpGVrNLxMg":                  -9.016998,
-		"gUugFwR":                          -9.016998,
-		"gVWWWo":                           -9.016998,
-		"gWD":                              -9.016998,
-		"gWdsZtZDVO":                       -9.016998,
-		"gWeoGVLEG":                        -9.016998,
-		"gXOVdhl":                          -9.016998,
-		"gXdTH/zy":                         -9.016998,
-		"gYeDST":                           -9.016998,
-		"gZT":                              -9.016998,
-		"gZbXQsToRI":                       -9.016998,
-		"gZd/NlzQvktLk":                    -9.016998,
-		"ga":                               -9.016998,
-		"gadAlPOxEqCgTBVE":                 -9.016998,
-		"gaduYI":                           -9.016998,
-		"galeon":                           -8.323851,
-		"gauYFf":                           -9.016998,
-		"gcbtVjCEFV":                       -9.016998,
-		"gcdejx":                           -9.016998,
-		"gctAqV":                           -9.016998,
-		"gd":                               -9.016998,
-		"ge":                               -9.016998,
-		"get_jvm_opts":                     -9.016998,
-		"get_mem_opts":                     -8.323851,
-		"get_script_path":                  -8.323851,
-		"gfu":                              -9.016998,
-		"ggeckpEO":                         -9.016998,
-		"ggv":                              -8.323851,
-		"ghoY":                             -9.016998,
-		"ghvVaJcCDSfZXoj":                  -9.016998,
-		"gi":                               -9.016998,
-		"giVI":                             -9.016998,
-		"git":                              -7.071088,
-		"given":                            -7.918386,
-		"gives":                            -9.016998,
-		"gj":                               -9.016998,
-		"glTCmdbjnus":                      -9.016998,
-		"gmNK":                             -9.016998,
-		"gnE/":                             -9.016998,
-		"goJfNQAmrIMTDJMYXUwFiO":           -9.016998,
-		"gpY":                              -9.016998,
-		"gpaU":                             -9.016998,
-		"gpfEDNLKwyqpM":                    -9.016998,
-		"gpxM":                             -9.016998,
-		"gqCnxvTD":                         -9.016998,
-		"gqmpeg":                           -8.323851,
-		"gqzHw":                            -9.016998,
-		"grap":                             -9.016998,
-		"grep":                             -6.452049,
-		"groupid":                          -9.016998,
-		"gryBUK":                           -9.016998,
-		"gtHwcAeeMuCURFNnZDN":              -9.016998,
-		"gunzip":                           -8.323851,
-		"gv":                               -8.323851,
-		"gview":                            -8.323851,
-		"gvim":                             -8.323851,
-		"gw":                               -9.016998,
-		"gxFOBAIdrY":                       -9.016998,
-		"gy":                               -9.016998,
-		"gz":                               -9.016998,
-		"h":                                -6.619103,
-		"h/MPg":                            -9.016998,
-		"h/hRUZivc":                        -9.016998,
-		"hDhnHD":                           -9.016998,
-		"hDohJ/":                           -9.016998,
-		"hFmjs":                            -9.016998,
-		"hGIzNULiAtkYgGICLdfACZVniAFgiMvWSCgqbpJjtM": -9.016998,
-		"hI":                          -8.323851,
-		"hJTLydJnGIio":                -9.016998,
-		"hJXg/ylr":                    -9.016998,
-		"hLQ":                         -9.016998,
-		"hNM":                         -9.016998,
-		"hNSoFvhpevN":                 -9.016998,
-		"hOPl":                        -9.016998,
-		"hOYk/":                       -9.016998,
-		"hOp":                         -9.016998,
-		"hTZng":                       -9.016998,
-		"hUa":                         -9.016998,
-		"hV":                          -9.016998,
-		"hVw":                         -9.016998,
-		"hW":                          -9.016998,
-		"hWVmcZHJSzImFkzJOSjiZx":      -9.016998,
-		"hZ":                          -9.016998,
-		"haXe":                        -9.016998,
-		"heZ":                         -9.016998,
-		"heaAKlBmT":                   -9.016998,
-		"head":                        -8.323851,
-		"hebaq":                       -9.016998,
-		"help":                        -7.630704,
-		"helptopic":                   -8.323851,
-		"here":                        -9.016998,
-		"hftpk":                       -9.016998,
-		"hfyii":                       -9.016998,
-		"hgi":                         -9.016998,
-		"hhnhHL":                      -9.016998,
-		"hhtqLwiKoMPDHk":              -9.016998,
-		"histappend":                  -8.323851,
-		"history":                     -6.244410,
-		"hj":                          -9.016998,
-		"hlSMcfq/":                    -9.016998,
-		"hldUSXB":                     -9.016998,
-		"hn":                          -9.016998,
-		"hoAiVZn":                     -9.016998,
-		"hold":                        -9.016998,
-		"holding":                     -8.323851,
-		"home":                        -7.407560,
-		"hour":                        -9.016998,
-		"hp":                          -9.016998,
-		"hpCIARXsiJ/HVZi":             -9.016998,
-		"hqYYM":                       -9.016998,
-		"hqcUbuI":                     -9.016998,
-		"href=":                       -9.016998,
-		"hs/JCmCBpkEVQUUFjKEKUM":      -9.016998,
-		"html":                        -8.323851,
-		"httMQi":                      -9.016998,
-		"https":                       -9.016998,
-		"hvT":                         -9.016998,
-		"hvq":                         -9.016998,
-		"hxfcW":                       -9.016998,
-		"hyE":                         -9.016998,
-		"hzCt":                        -9.016998,
-		"i":                           -5.649702,
-		"i/":                          -9.016998,
-		"i/INDEX":                     -8.323851,
-		"i/PNm":                       -9.016998,
-		"iAKUEqpeaN":                  -9.016998,
-		"iBoAlzF":                     -9.016998,
-		"iCpMFJbSc":                   -9.016998,
-		"iDv":                         -9.016998,
-		"iFDvfjsnGDD":                 -9.016998,
-		"iGQbWNSvsR":                  -9.016998,
-		"iHXeTILUNZpxmBARCosaxgdwKU":  -9.016998,
-		"iJ":                          -9.016998,
-		"iKOJgi":                      -9.016998,
-		"iMkqbiprjoxvKMMqgnt":         -9.016998,
-		"iMufaLO":                     -9.016998,
-		"iNF":                         -9.016998,
-		"iOVywDm":                     -9.016998,
-		"iPCRuitcaCT":                 -9.016998,
-		"iR":                          -9.016998,
-		"iRLk":                        -9.016998,
-		"iRyOTii":                     -9.016998,
-		"iTRgFuI":                     -9.016998,
-		"iTXOxatQo":                   -9.016998,
-		"iTXvjnxMtTgi":                -9.016998,
-		"iThtSKOyusrzbM":              -9.016998,
-		"iU":                          -9.016998,
-		"iUyRKrlOXCBJAfVo":            -9.016998,
-		"iV":                          -9.016998,
-		"iXkjkh":                      -9.016998,
-		"iXsHFXbq":                    -9.016998,
-		"iYVfCMtD":                    -9.016998,
-		"iYXoF":                       -9.016998,
-		"iYtvqqEyhr":                  -9.016998,
-		"iaTA":                        -9.016998,
-		"iajMmr":                      -9.016998,
-		"ibElDQwtAvkQpL/IuT":          -9.016998,
-		"icg":                         -9.016998,
-		"id":                          -9.016998,
-		"if":                          -4.686265,
-		"igUU":                        -9.016998,
-		"ignoreboth":                  -8.323851,
-		"iiaplmNQBZq":                 -9.016998,
-		"iiuuN":                       -9.016998,
-		"ijZdRuT":                     -9.016998,
-		"il":                          -9.016998,
-		"ilTy":                        -9.016998,
-		"im":                          -9.016998,
-		"in":                          -5.881504,
-		"incappendhistory":            -8.323851,
-		"init.stud":                   -9.016998,
-		"install":                     -8.323851,
-		"installation.":               -9.016998,
-		"intro":                       -7.630704,
-		"ipjnSXlY":                    -9.016998,
-		"ipvLYU":                      -9.016998,
-		"ipx":                         -9.016998,
-		"iqrB":                        -9.016998,
-		"irRPRO":                      -9.016998,
-		"is":                          -6.819774,
-		"istrip":                      -8.323851,
-		"it":                          -7.918386,
-		"its":                         -9.016998,
-		"ivDF":                        -9.016998,
-		"ivLApfU":                     -9.016998,
-		"ivwNwxQAntAIf":               -9.016998,
-		"iwIhOuEeBEalwpwIjauEjCowIhj": -9.016998,
-		"ix":                          -9.016998,
-		"iy":                          -9.016998,
-		"j":                           -6.183785,
-		"j/l":                         -9.016998,
-		"jAWtIvB/n":                   -9.016998,
-		"jCEHOyfAZBp":                 -9.016998,
-		"jCFHZOZec":                   -9.016998,
-		"jCfunzxZYpg":                 -9.016998,
-		"jDpw":                        -9.016998,
-		"jFNfudTXqyubV":               -9.016998,
-		"jFtP/DfdvImYazIHl":           -9.016998,
-		"jGrCg":                       -9.016998,
-		"jHVpeJRoj":                   -9.016998,
-		"jHVye":                       -9.016998,
-		"jK":                          -9.016998,
-		"jL":                          -9.016998,
-		"jLSlXPBdXdOiOxhK":            -9.016998,
-		"jLrj":                        -9.016998,
-		"jM":                          -9.016998,
-		"jNQlqerz":                    -9.016998,
-		"jNZeN":                       -9.016998,
-		"jOUz":                        -9.016998,
-		"jPfEqK":                      -9.016998,
-		"jSBGknIvgF":                  -9.016998,
-		"jSmU":                        -9.016998,
-		"jUIwdXelXGiw":                -9.016998,
-		"jUkINZUBuCbdwtcjd":           -9.016998,
-		"jYQtFndXBToD":                -9.016998,
-		"jYwvGxheNjC":                 -9.016998,
-		"jZdktuOZkYwC":                -9.016998,
-		"jaS":                         -9.016998,
-		"jaTyE":                       -9.016998,
-		"jadetex":                     -8.323851,
-		"jar":                         -7.630704,
-		"java":                        -7.918386,
-		"java_args":                   -8.323851,
-		"java_cmd":                    -9.016998,
-		"java_cmd=":                   -9.016998,
-		"java_home":                   -9.016998,
-		"jbKd":                        -9.016998,
-		"jbwEDIh":                     -9.016998,
-		"jeG":                         -9.016998,
-		"jf":                          -9.016998,
-		"jfEYqFUynyq":                 -9.016998,
-		"jfpuX":                       -9.016998,
-		"jgu":                         -9.016998,
-		"jhKu":                        -9.016998,
-		"jj":                          -9.016998,
-		"jklxzRwzCHYuiZKJMZy":         -9.016998,
-		"jl":                          -9.016998,
-		"jmELEWV":                     -9.016998,
-		"jnGHA":                       -9.016998,
-		"jobs":                        -8.323851,
-		"jrXcLTdQBnfO/F":              -9.016998,
-		"jtECF":                       -9.016998,
-		"jthlOdm":                     -9.016998,
-		"juke":                        -9.016998,
-		"jukefs":                      -8.323851,
-		"just":                        -8.323851,
-		"jv":                          -9.016998,
-		"jvm":                         -7.407560,
-		"jvm_opts_file":               -9.016998,
-		"jwiUVx":                      -9.016998,
-		"jyqLTGq":                     -9.016998,
-		"k":                           -6.452049,
-		"k/":                          -9.016998,
-		"kBtw":                        -9.016998,
-		"kC":                          -9.016998,
-		"kD":                          -9.016998,
-		"kDjoKIC":                     -9.016998,
-		"kFC":                         -9.016998,
-		"kFI":                         -9.016998,
-		"kFZVIhFQKiP":                 -9.016998,
-		"kGXhZy":                      -9.016998,
-		"kGhuKW/BaImXEf":              -9.016998,
-		"kHiMWuEx":                    -9.016998,
-		"kI":                          -8.323851,
-		"kJ":                          -9.016998,
-		"kJbJ":                        -9.016998,
-		"kLhRBSVAuKdjTS":              -9.016998,
-		"kLzuBLrzkQmSsIfHOdxtNsIvz":   -9.016998,
-		"kMDJGJaHetO":                 -9.016998,
-		"kMDjCs":                      -9.016998,
-		"kMKyQc":                      -9.016998,
-		"kN":                          -9.016998,
-		"kNNi/":                       -9.016998,
-		"kNXYg":                       -9.016998,
-		"kOEWLh":                      -9.016998,
-		"kPvYk":                       -9.016998,
-		"kRA":                         -9.016998,
-		"kRN":                         -9.016998,
-		"kRmZJ":                       -9.016998,
-		"kRqYfuvo":                    -9.016998,
-		"kTQlaO":                      -9.016998,
-		"kUuHSTpWSSunzuY":             -9.016998,
-		"kVJws":                       -9.016998,
-		"kVch":                        -9.016998,
-		"kXnvQcgWjtkE":                -9.016998,
-		"kYY":                         -9.016998,
-		"kc":                          -9.016998,
-		"kczhcfAensNUuiLAl":           -9.016998,
-		"kdVr":                        -9.016998,
-		"ke":                          -9.016998,
-		"ke/dwPeXsQ":                  -9.016998,
-		"keBPODX/htHuWASARtQvcJlFa":   -9.016998,
-		"keep":                        -9.016998,
-		"kfVebZDkAbFXpew":             -9.016998,
-		"kfkXlSebtQzOJz":              -9.016998,
-		"kfs":                         -9.016998,
-		"kgu":                         -9.016998,
-		"kh":                          -9.016998,
-		"killed":                      -8.323851,
-		"kj":                          -9.016998,
-		"kjVOdoyUrjOkaP":              -9.016998,
-		"kkX":                         -9.016998,
-		"km":                          -9.016998,
-		"koD/weMQeU":                  -9.016998,
-		"kopJfsCUxYipKrMgdCNM":        -9.016998,
-		"krnibrt":                     -9.016998,
-		"ksook":                       -9.016998,
-		"kspiOj":                      -9.016998,
-		"ku":                          -9.016998,
-		"kuZi":                        -9.016998,
-		"kwBT":                        -9.016998,
-		"kwBZ":                        -9.016998,
-		"kx":                          -9.016998,
-		"ky":                          -9.016998,
-		"lKPZbfqsmGpPiAN":             -9.016998,
-		"lM":                          -9.016998,
-		"lRqs":                        -9.016998,
-		"lZKArrtusVymaCMslUyTgHKYLxyVtxLqFSQvoMgZ": -9.016998,
-		"labs.com":                           -9.016998,
-		"latest_":                            -7.225239,
-		"latest_210":                         -9.016998,
-		"latest_28":                          -9.016998,
-		"latest_29":                          -9.016998,
-		"latex":                              -8.323851,
-		"launch":                             -8.323851,
-		"launcher":                           -9.016998,
-		"launchers":                          -9.016998,
-		"lc":                                 -9.016998,
-		"ld":                                 -9.016998,
-		"ldAStPTg":                           -9.016998,
-		"level":                              -8.323851,
-		"lfntqXa":                            -9.016998,
-		"liIPE":                              -9.016998,
-		"lib":                                -8.323851,
-		"libev":                              -9.016998,
-		"license":                            -9.016998,
-		"limit":                              -8.323851,
-		"link":                               -9.016998,
-		"links":                              -8.323851,
-		"list":                               -9.016998,
-		"ln":                                 -9.016998,
-		"loMc":                               -9.016998,
-		"local":                              -6.308948,
-		"location":                           -9.016998,
-		"log":                                -8.323851,
-		"logLevel":                           -8.323851,
-		"lqhYXXA":                            -9.016998,
-		"ls":                                 -7.407560,
-		"lshMHHkZTrTRI":                      -9.016998,
-		"ltuWoOLV":                           -9.016998,
-		"lvuB":                               -9.016998,
-		"lynx":                               -8.323851,
-		"m":                                  -6.021266,
-		"m/DdPFrYa":                          -9.016998,
-		"mAG":                                -9.016998,
-		"mCK":                                -9.016998,
-		"mDC":                                -9.016998,
-		"mDM":                                -9.016998,
-		"mDaCqSceEMz/SKJ":                    -9.016998,
-		"mFIzPFCWb":                          -9.016998,
-		"mFYD":                               -9.016998,
-		"mFiCvL":                             -9.016998,
-		"mKgeoUqx":                           -9.016998,
-		"mNq":                                -9.016998,
-		"mOZQxM":                             -9.016998,
-		"mPkHNWzSXOI/dtOzRTYM/G":             -9.016998,
-		"mQs":                                -9.016998,
-		"mRg":                                -9.016998,
-		"mUD":                                -9.016998,
-		"mUG":                                -9.016998,
-		"mUim":                               -9.016998,
-		"mVBsLqhLWHnA":                       -9.016998,
-		"mWj":                                -9.016998,
-		"mWucvu":                             -9.016998,
-		"mXjrcmx":                            -9.016998,
-		"mZdtcZYRwz":                         -9.016998,
-		"main/archive":                       -8.323851,
-		"main/snapshot":                      -8.323851,
-		"make":                               -7.225239,
-		"make_release_url":                   -9.016998,
-		"make_snapshot_url":                  -9.016998,
-		"make_url":                           -8.323851,
-		"makedepends":                        -9.016998,
-		"makeinfo":                           -8.323851,
-		"man":                                -7.407560,
-		"match":                              -9.016998,
-		"max":                                -9.016998,
-		"maximum":                            -7.918386,
-		"mbxY":                               -9.016998,
-		"mem":                                -8.323851,
-		"message":                            -9.016998,
-		"mf":                                 -9.016998,
-		"mhpwJDYT":                           -9.016998,
-		"minute":                             -9.016998,
-		"mj":                                 -9.016998,
-		"mkNh":                               -9.016998,
-		"mkdir":                              -9.016998,
-		"mlX":                                -9.016998,
-		"mmm":                                -9.016998,
-		"mnTzGYhO":                           -9.016998,
-		"mnnGtPvNZ":                          -9.016998,
-		"mnt":                                -9.016998,
-		"moTZuzmr/O":                         -9.016998,
-		"month":                              -9.016998,
-		"mount":                              -6.819774,
-		"mozilla":                            -8.323851,
-		"mpg":                                -7.630704,
-		"mqSPLyDZm":                          -9.016998,
-		"mqTSekL":                            -9.016998,
-		"mrhIKdGsyMuI":                       -9.016998,
-		"msDzUugWLkoo":                       -9.016998,
-		"msKUBm":                             -9.016998,
-		"msg":                                -7.630704,
-		"msys":                               -8.323851,
-		"mtk":                                -9.016998,
-		"muOd":                               -9.016998,
-		"muUlkwB":                            -9.016998,
-		"mv":                                 -9.016998,
-		"mwDhgeJYC":                          -9.016998,
-		"mwgVLWSr":                           -9.016998,
-		"mxysxkJxfLGpmbp":                    -9.016998,
-		"myklcX":                             -9.016998,
-		"mzxr":                               -9.016998,
-		"n":                                  -5.046706,
-		"n/":                                 -9.016998,
-		"n/HkJdADweiKUCtAHJSsuY":             -9.016998,
-		"nAlCTd":                             -9.016998,
-		"nBa":                                -9.016998,
-		"nKW":                                -9.016998,
-		"nKoir":                              -9.016998,
-		"nL":                                 -9.016998,
-		"nLfBMGu":                            -9.016998,
-		"nMiP":                               -9.016998,
-		"nN":                                 -9.016998,
-		"nNO":                                -9.016998,
-		"nNSm":                               -9.016998,
-		"nO":                                 -9.016998,
-		"nOmeOi":                             -9.016998,
-		"nPsT":                               -9.016998,
-		"nR":                                 -9.016998,
-		"nSPlBOmiRvEIWdSonRTIerdeR":          -9.016998,
-		"nT":                                 -9.016998,
-		"nTBrXyz":                            -9.016998,
-		"nTg":                                -9.016998,
-		"nUd":                                -9.016998,
-		"nWGNb":                              -9.016998,
-		"nX":                                 -9.016998,
-		"nXJ":                                -9.016998,
-		"nYObzsFN":                           -9.016998,
-		"nYZG":                               -9.016998,
-		"nZUO":                               -9.016998,
-		"na":                                 -9.016998,
-		"naeBntDgO":                          -9.016998,
-		"name":                               -9.016998,
-		"name##*fo":                          -9.016998,
-		"name1":                              -9.016998,
-		"name2":                              -9.016998,
-		"nc":                                 -8.323851,
-		"ncNk/uI":                            -9.016998,
-		"ncQ":                                -9.016998,
-		"neCPVN":                             -9.016998,
-		"need":                               -9.016998,
-		"nenAA":                              -9.016998,
-		"netscape":                           -8.323851,
-		"ng":                                 -9.016998,
-		"ngdKM":                              -9.016998,
-		"nmrWvHrxd":                          -9.016998,
-		"nnKEnSN":                            -9.016998,
-		"no":                                 -6.532092,
-		"nocasematch":                        -9.016998,
-		"noshare_opts":                       -9.016998,
-		"not":                                -6.532092,
-		"np":                                 -9.016998,
-		"npBFGXTIA":                          -9.016998,
-		"nq":                                 -9.016998,
-		"ns":                                 -9.016998,
-		"ntGqYsFVGwvC":                       -9.016998,
-		"nu":                                 -9.016998,
-		"nv":                                 -9.016998,
-		"nvCyun":                             -9.016998,
-		"nw":                                 -9.016998,
-		"nw/UgmVGFZDxujrbk":                  -9.016998,
-		"nwE":                                -9.016998,
-		"nxxravr":                            -9.016998,
-		"nyytilZ":                            -9.016998,
-		"nzZ/d":                              -9.016998,
-		"o":                                  -6.072559,
-		"o/DbVkMr":                           -9.016998,
-		"o/T":                                -9.016998,
-		"o/U":                                -9.016998,
-		"oAXSQBZ":                            -9.016998,
-		"oBJIXYUonbZMDlkLUmCbTHEd":           -9.016998,
-		"oEkK":                               -9.016998,
-		"oFx":                                -9.016998,
-		"oG":                                 -9.016998,
-		"oHSneya":                            -9.016998,
-		"oJWqLKrGddPxz":                      -9.016998,
-		"oKJFVFpPTLyEoB":                     -9.016998,
-		"oKs":                                -9.016998,
-		"oLxTPG":                             -9.016998,
-		"oNIsSlTH":                           -9.016998,
-		"oObUDv":                             -9.016998,
-		"oP":                                 -9.016998,
-		"oPpx":                               -9.016998,
-		"oQNhz":                              -9.016998,
-		"oSGjKcLD":                           -9.016998,
-		"oSUr":                               -9.016998,
-		"oTl":                                -9.016998,
-		"oVBH":                               -9.016998,
-		"oVtadMvayTQZ/CEfXc/iXQJ":            -9.016998,
-		"oWC":                                -9.016998,
-		"oWUOGRYAAAAAAs":                     -9.016998,
-		"oXhJmIH":                            -9.016998,
-		"oZZmE":                              -9.016998,
-		"obBcjreNoshlDjVL":                   -9.016998,
-		"obtgl":                              -9.016998,
-		"od":                                 -9.016998,
-		"oeG":                                -9.016998,
-		"oeSFVs":                             -9.016998,
-		"of":                                 -7.225239,
-		"og":                                 -9.016998,
-		"ogTb":                               -9.016998,
-		"ogg":                                -8.323851,
-		"ogh/":                               -9.016998,
-		"ojGywfuITCuM":                       -9.016998,
-		"ojHit":                              -9.016998,
-		"ojNY":                               -9.016998,
-		"okC":                                -9.016998,
-		"ol":                                 -9.016998,
-		"olaHnJt":                            -9.016998,
-		"old":                                -7.918386,
-		"omh":                                -9.016998,
-		"onHzvAJhJ":                          -9.016998,
-		"only":                               -9.016998,
-		"ooZU":                               -9.016998,
-		"oozWGcDZoZD":                        -9.016998,
-		"openssl":                            -9.016998,
-		"opera":                              -8.323851,
-		"opt":                                -8.323851,
-		"option":                             -9.016998,
-		"options":                            -9.016998,
-		"opts":                               -9.016998,
-		"oqgJUQVaLF":                         -9.016998,
-		"org.scala":                          -7.630704,
-		"origin":                             -9.016998,
-		"osaVR":                              -9.016998,
-		"otQGsYX":                            -9.016998,
-		"other":                              -8.323851,
-		"ouQwziM":                            -9.016998,
-		"ourbB":                              -9.016998,
-		"overwrite":                          -7.918386,
-		"overwriting":                        -8.323851,
-		"ovlI":                               -9.016998,
-		"ovoCC/Fl":                           -9.016998,
-		"ovq":                                -9.016998,
-		"owpQqI":                             -9.016998,
-		"ozVg":                               -9.016998,
-		"ozrS":                               -9.016998,
-		"p":                                  -5.972476,
-		"p/":                                 -9.016998,
-		"pBZPBrefrWz":                        -9.016998,
-		"pDIJGpF":                            -9.016998,
-		"pEegVd":                             -9.016998,
-		"pFAMpbZhvfGO":                       -9.016998,
-		"pFL":                                -9.016998,
-		"pFPrvS":                             -9.016998,
-		"pFRJX":                              -9.016998,
-		"pHVJA/iejpgFEPJSeTe":                -9.016998,
-		"pIwVTnBB":                           -9.016998,
-		"pK":                                 -9.016998,
-		"pKO":                                -9.016998,
-		"pMbLBR":                             -9.016998,
-		"pQ":                                 -9.016998,
-		"pRKqvLb":                            -9.016998,
-		"pSAsDWHkXmB":                        -9.016998,
-		"pTfBNjIKvi":                         -9.016998,
-		"pTofDlnnz":                          -9.016998,
-		"pU":                                 -9.016998,
-		"pVSuvKgPsnS":                        -9.016998,
-		"pVTGuH":                             -9.016998,
-		"pWDpal":                             -9.016998,
-		"pXRwFj":                             -9.016998,
-		"pYjaN":                              -9.016998,
-		"pZAG/tPWglviGHd/jKNKmNz":            -9.016998,
-		"package":                            -9.016998,
-		"page":                               -7.630704,
-		"pajeod":                             -9.016998,
-		"pass":                               -8.323851,
-		"pat":                                -7.918386,
-		"patch":                              -8.323851,
-		"path":                               -6.937557,
-		"pbO":                                -9.016998,
-		"pcZ":                                -9.016998,
-		"pcletmxUKcC":                        -9.016998,
-		"pcwnB":                              -9.016998,
-		"pcwvIZ":                             -9.016998,
-		"pd":                                 -9.016998,
-		"pdfjadetex":                         -8.323851,
-		"pdflatex":                           -8.323851,
-		"pdftex":                             -8.323851,
-		"pdhQmzl":                            -9.016998,
-		"pdide":                              -9.016998,
-		"pdmn":                               -9.016998,
-		"pe":                                 -9.016998,
-		"peYJdF":                             -9.016998,
-		"pemKpRG":                            -9.016998,
-		"perl":                               -7.918386,
-		"perm":                               -7.225239,
-		"pg":                                 -8.323851,
-		"ph":                                 -9.016998,
-		"pi":                                 -9.016998,
-		"pi/pX":                              -9.016998,
-		"piVgH":                              -9.016998,
-		"piZ":                                -9.016998,
-		"pic":                                -9.016998,
-		"ping":                               -8.323851,
-		"pkgdesc":                            -9.016998,
-		"pkgname":                            -9.016998,
-		"pkgrel":                             -9.016998,
-		"pkgver":                             -9.016998,
-		"pkhbK":                              -9.016998,
-		"playmidi":                           -8.323851,
-		"plumb":                              -9.016998,
-		"pnVos":                              -9.016998,
-		"pnunM":                              -9.016998,
-		"podr":                               -9.016998,
-		"postproc":                           -7.918386,
-		"ppWRENgCIdf":                        -9.016998,
-		"ppn":                                -9.016998,
-		"pqYJXCSuuVJCSGpR":                   -9.016998,
-		"precmd":                             -8.323851,
-		"prefix":                             -9.016998,
-		"prepend":                            -9.016998,
-		"prepended":                          -8.323851,
-		"preproc":                            -6.021266,
-		"print":                              -9.016998,
-		"print_help":                         -8.323851,
-		"printf":                             -7.630704,
-		"process":                            -9.016998,
-		"process_args":                       -9.016998,
-		"processed":                          -9.016998,
-		"project":                            -9.016998,
-		"project.":                           -9.016998,
-		"project/build.properties":           -6.819774,
-		"prompt":                             -8.323851,
-		"proof":                              -9.016998,
-		"properties":                         -9.016998,
-		"provides":                           -9.016998,
-		"ps":                                 -8.323851,
-		"psukyFX":                            -9.016998,
-		"ptAwJwEaA":                          -9.016998,
-		"ptXGfbQIuBozZeUz/":                  -9.016998,
-		"puDtUgEsm":                          -9.016998,
-		"puW":                                -9.016998,
-		"pull":                               -9.016998,
-		"pushd":                              -8.323851,
-		"puulzpPSg":                          -9.016998,
-		"pvmxxUMhvQfIGY":                     -9.016998,
-		"pwxQU":                              -9.016998,
-		"pxSW":                               -9.016998,
-		"q":                                  -6.072559,
-		"q/":                                 -9.016998,
-		"q/ZX":                               -9.016998,
-		"qAQ":                                -9.016998,
-		"qAbuIgebtMbII/fz":                   -9.016998,
-		"qCQfl":                              -9.016998,
-		"qCX":                                -9.016998,
-		"qCXqM/r":                            -9.016998,
-		"qCiU":                               -9.016998,
-		"qETRkiIV":                           -9.016998,
-		"qEldcjmNPkxHHiM":                    -9.016998,
-		"qGxJY":                              -9.016998,
-		"qIXX":                               -9.016998,
-		"qLx":                                -9.016998,
-		"qMFyUP":                             -9.016998,
-		"qMdQax":                             -9.016998,
-		"qNWWQ":                              -9.016998,
-		"qNswYbuRc":                          -9.016998,
-		"qOyvJrEoflj":                        -9.016998,
-		"qQbzmiKvfvur/BLx":                   -9.016998,
-		"qQij":                               -9.016998,
-		"qTpklgi":                            -9.016998,
-		"qUAgL":                              -9.016998,
-		"qX":                                 -8.323851,
-		"qXJiM/ePDYwPcq":                     -9.016998,
-		"qXgosgYiGJZNbzEiOoEB":               -9.016998,
-		"qYVK":                               -9.016998,
-		"qZ":                                 -9.016998,
-		"qaaTV":                              -9.016998,
-		"qadclm":                             -9.016998,
-		"qasmS":                              -9.016998,
-		"qcJNafOQ/BqhGwRpgwmzbOi":            -9.016998,
-		"qd":                                 -9.016998,
-		"qdcc":                               -9.016998,
-		"qeOWFpc/FQFgzUepdYD":                -9.016998,
-		"qgLLHYROhflteDmKKVn":                -9.016998,
-		"qh":                                 -9.016998,
-		"qiamcdsl":                           -9.016998,
-		"qiv":                                -8.323851,
-		"qjNAV":                              -9.016998,
-		"qlMiG":                              -9.016998,
-		"qlcYeMcIx":                          -9.016998,
-		"qmFfyshHU":                          -9.016998,
-		"qnfUlde":                            -9.016998,
-		"qnjxI":                              -9.016998,
-		"qoLlun":                             -9.016998,
-		"qp":                                 -9.016998,
-		"qqpqX":                              -9.016998,
-		"qrg":                                -9.016998,
-		"qsbUnWfhf/HJBcZHzNbP":               -9.016998,
-		"query":                              -9.016998,
-		"quiet":                              -7.918386,
-		"quit":                               -8.323851,
-		"qv":                                 -9.016998,
-		"qxhuNssfirqXrkLJAyPW":               -9.016998,
-		"qxiBk":                              -9.016998,
-		"qyU":                                -9.016998,
-		"qzAasgPBrvWJtn":                     -9.016998,
-		"qzkQvdkbUMf":                        -9.016998,
-		"r":                                  -5.798122,
-		"r/XvFt":                             -9.016998,
-		"rBvquqlXlAw":                        -9.016998,
-		"rCOMRP":                             -9.016998,
-		"rCVAAEwdadAW":                       -9.016998,
-		"rDfRXMhZSS":                         -9.016998,
-		"rEtxZ/lkSsYf":                       -9.016998,
-		"rFxVfZHdbr/tcCHnb":                  -9.016998,
-		"rHzZohvteIMgpChyzbMMtY":             -9.016998,
-		"rI":                                 -9.016998,
-		"rIoFr":                              -9.016998,
-		"rIrxO":                              -9.016998,
-		"rJlVB":                              -9.016998,
-		"rL":                                 -9.016998,
-		"rNOOolvHeqIPjtvaTYJIUk":             -9.016998,
-		"rO":                                 -9.016998,
-		"rOpvWs":                             -9.016998,
-		"rPRVLlj":                            -9.016998,
-		"rRGAgAhARwAAAAQz":                   -9.016998,
-		"rS/zmJPGo":                          -9.016998,
-		"rSv":                                -9.016998,
-		"rTi/a":                              -9.016998,
-		"rU":                                 -9.016998,
-		"rYJyJwgvIzfBMGU":                    -9.016998,
-		"rZXe":                               -9.016998,
-		"ray":                                -9.016998,
-		"rb":                                 -9.016998,
-		"rbGvwQ":                             -9.016998,
-		"rbJ":                                -9.016998,
-		"rbenv":                              -8.323851,
-		"rctHO":                              -9.016998,
-		"rdMFuvfp":                           -9.016998,
-		"read":                               -9.016998,
-		"readlink":                           -9.016998,
-		"readonly":                           -8.323851,
-		"realplay":                           -8.323851,
-		"rehash":                             -8.323851,
-		"releases":                           -9.016998,
-		"remote.origin.pushurl":              -9.016998,
-		"remote.origin.url":                  -9.016998,
-		"require_arg":                        -7.225239,
-		"reset":                              -9.016998,
-		"residual_args":                      -7.918386,
-		"residuals":                          -9.016998,
-		"return":                             -8.323851,
-		"rf":                                 -9.016998,
-		"rfQlYXYTRQ":                         -9.016998,
-		"rfork":                              -8.323851,
-		"rgview":                             -8.323851,
-		"rgvim":                              -8.323851,
-		"rh":                                 -9.016998,
-		"riAYYMD":                            -9.016998,
-		"rjlJdP":                             -9.016998,
-		"rjvWPkvIpXBKBqMd":                   -9.016998,
-		"rk":                                 -9.016998,
-		"rkv":                                -9.016998,
-		"rm":                                 -8.323851,
-		"rmdir":                              -8.323851,
-		"roff":                               -7.225239,
-		"root":                               -8.323851,
-		"rp":                                 -9.016998,
-		"rpQUz":                              -9.016998,
-		"rqz":                                -9.016998,
-		"rrCcz/WxBl":                         -9.016998,
-		"rrX":                                -9.016998,
-		"rrsOGbrsbaLR":                       -9.016998,
-		"rs/cmJzTEypO":                       -9.016998,
-		"rt":                                 -9.016998,
-		"rtECqzmdq":                          -9.016998,
-		"rtnSgW/nSLVe":                       -9.016998,
-		"rtpXXV":                             -9.016998,
-		"ruMzh":                              -9.016998,
-		"run":                                -9.016998,
-		"runner":                             -8.323851,
-		"rview":                              -8.323851,
-		"rvim":                               -8.323851,
-		"rvm":                                -9.016998,
-		"rvm_ignore_rvmrc":                   -9.016998,
-		"rvm_is_not_a_shell_function":        -8.323851,
-		"rvm_path":                           -7.630704,
-		"rvm_path/scripts":                   -9.016998,
-		"rvm_rvmrc_files":                    -7.918386,
-		"rvmrc":                              -7.918386,
-		"rwVdfXebGJ":                         -9.016998,
-		"rx":                                 -9.016998,
-		"rzr/":                               -9.016998,
-		"s":                                  -5.583011,
-		"sB":                                 -9.016998,
-		"sCHb":                               -9.016998,
-		"sDTLNHl":                            -9.016998,
-		"sDubtNkLYx":                         -9.016998,
-		"sEeAu":                              -9.016998,
-		"sICHMSq":                            -9.016998,
-		"sIHKdi":                             -9.016998,
-		"sJSMs":                              -9.016998,
-		"sLVO":                               -9.016998,
-		"sNLmGNfekl":                         -9.016998,
-		"sNZFRmHKtp/":                        -9.016998,
-		"sPo":                                -9.016998,
-		"sQr":                                -9.016998,
-		"sRYzH":                              -9.016998,
-		"sRlPgvdfAFtLIveNievHwSVrdj":         -9.016998,
-		"sU":                                 -9.016998,
-		"sVmQ":                               -9.016998,
-		"sWUrXjgMRV":                         -9.016998,
-		"sWlu/":                              -9.016998,
-		"sWqrLVUdKewCWsZ":                    -9.016998,
-		"sZ":                                 -9.016998,
-		"sZatbJmo":                           -9.016998,
-		"saYNSd":                             -9.016998,
-		"save":                               -7.630704,
-		"sbt":                                -5.684794,
-		"sbt.version":                        -8.323851,
-		"sbt_artifactory_list":               -8.323851,
-		"sbt_commands":                       -9.016998,
-		"sbt_create":                         -9.016998,
-		"sbt_create=":                        -9.016998,
-		"sbt_dir":                            -8.323851,
-		"sbt_explicit_version":               -7.407560,
-		"sbt_explicit_version=":              -8.323851,
-		"sbt_groupid":                        -7.918386,
-		"sbt_jar":                            -9.016998,
-		"sbt_jar=":                           -9.016998,
-		"sbt_launch_dir":                     -7.918386,
-		"sbt_launch_dir=":                    -9.016998,
-		"sbt_mem":                            -8.323851,
-		"sbt_opts_file":                      -7.918386,
-		"sbt_release_version":                -8.323851,
-		"sbt_snapshot":                       -9.016998,
-		"sbt_snapshot_version":               -9.016998,
-		"sbt_version":                        -6.937557,
-		"sbtargs":                            -8.323851,
-		"sbtargs=":                           -8.323851,
-		"sbtopts":                            -9.016998,
-		"scRn":                               -9.016998,
-		"scala":                              -7.071088,
-		"scalaHome":                          -9.016998,
-		"scalaVersion":                       -9.016998,
-		"scala_version":                      -7.918386,
-		"scalacOptions":                      -8.323851,
-		"scalac_args":                        -7.918386,
-		"score":                              -8.323851,
-		"score=":                             -7.918386,
-		"script_dir":                         -9.016998,
-		"script_name":                        -8.323851,
-		"script_path":                        -9.016998,
-		"sdyPPdARI":                          -9.016998,
-		"seOEcSI":                            -9.016998,
-		"search":                             -8.323851,
-		"search=":                            -9.016998,
-		"sec":                                -7.225239,
-		"sec/INDEX":                          -9.016998,
-		"second":                             -9.016998,
-		"sed":                                -6.072559,
-		"service":                            -9.016998,
-		"set":                                -5.925956,
-		"setopt":                             -6.937557,
-		"setting":                            -9.016998,
-		"sez":                                -9.016998,
-		"sffavAZPB":                          -9.016998,
-		"sgTBAHyJoF":                         -9.016998,
-		"sh":                                 -9.016998,
-		"shTPQ":                              -9.016998,
-		"sharehistory":                       -8.323851,
-		"shift":                              -5.838944,
-		"shopt":                              -7.071088,
-		"siQ":                                -9.016998,
-		"sjXSat":                             -9.016998,
-		"slLy/vgRkzshm":                      -9.016998,
-		"slghgU":                             -9.016998,
-		"slitex":                             -8.323851,
-		"sll":                                -9.016998,
-		"snap":                               -9.016998,
-		"snapshot":                           -7.918386,
-		"snapshots":                          -9.016998,
-		"sncSSEA":                            -9.016998,
-		"sncr":                               -9.016998,
-		"so":                                 -9.016998,
-		"sort":                               -9.016998,
-		"source":                             -6.937557,
-		"sources":                            -7.630704,
-		"sources.cs.bell":                    -9.016998,
-		"sourcesdump":                        -9.016998,
-		"sourcessnap":                        -9.016998,
-		"specified":                          -7.630704,
-		"sqcmhhO":                            -9.016998,
-		"srv":                                -7.407560,
-		"srvz":                               -9.016998,
-		"stDPllv":                            -9.016998,
-		"start":                              -8.323851,
-		"stopped":                            -8.323851,
-		"stripped":                           -9.016998,
-		"stty":                               -8.323851,
-		"stud":                               -7.630704,
-		"stuff":                              -9.016998,
-		"such":                               -9.016998,
-		"sumRXhcPA":                          -9.016998,
-		"svWHhQa":                            -9.016998,
-		"svqNWFz":                            -9.016998,
-		"switch":                             -7.407560,
-		"sy":                                 -9.016998,
-		"syTE/TG":                            -9.016998,
-		"system":                             -9.016998,
-		"szETjtHhwYNv":                       -9.016998,
-		"szKJvcu/":                           -9.016998,
-		"szy":                                -9.016998,
-		"t":                                  -6.126627,
-		"t/Iy":                               -9.016998,
-		"t/ewXGFDf/MsHbuj":                   -9.016998,
-		"tAkAE":                              -9.016998,
-		"tAqaC":                              -9.016998,
-		"tDOgS":                              -9.016998,
-		"tE":                                 -9.016998,
-		"tHB":                                -9.016998,
-		"tL":                                 -9.016998,
-		"tLECcOYOoDpvxlCEnbxtrt":             -9.016998,
-		"tLbs":                               -9.016998,
-		"tMwgfO/CgWgkGXkd":                   -9.016998,
-		"tOS":                                -9.016998,
-		"tOnED":                              -9.016998,
-		"tP":                                 -9.016998,
-		"tPBHUJt":                            -9.016998,
-		"tRFjlyLrzK":                         -9.016998,
-		"tTcviIwpdwmonpSwY":                  -9.016998,
-		"tTrqjHRTkSQbw":                      -9.016998,
-		"tVAHUbizPC":                         -9.016998,
-		"tXC":                                -9.016998,
-		"tYebd":                              -9.016998,
-		"taIOCG":                             -9.016998,
-		"tail":                               -9.016998,
-		"tar":                                -9.016998,
-		"target":                             -9.016998,
-		"tbl":                                -9.016998,
-		"tc":                                 -9.016998,
-		"tcp":                                -9.016998,
-		"tdcgUZMysEAV":                       -9.016998,
-		"term":                               -8.323851,
-		"terminals":                          -8.323851,
-		"test":                               -6.532092,
-		"tex":                                -8.323851,
-		"texi":                               -7.630704,
-		"tfB":                                -9.016998,
-		"tfV":                                -9.016998,
-		"tg":                                 -9.016998,
-		"tgQeeAsurSlT":                       -9.016998,
-		"the":                                -5.881504,
-		"them":                               -9.016998,
-		"then":                               -5.303426,
-		"there":                              -9.016998,
-		"this":                               -7.630704,
-		"timidity":                           -8.323851,
-		"tjqtZhDfSt":                         -9.016998,
-		"tkVXfSc":                            -9.016998,
-		"tlbgSp":                             -9.016998,
-		"tloBApM":                            -9.016998,
-		"tmrLFfs":                            -9.016998,
-		"tn":                                 -9.016998,
-		"tnXscSRN":                           -9.016998,
-		"to":                                 -5.615801,
-		"toJ":                                -9.016998,
-		"tools.sbt":                          -7.918386,
-		"torZ":                               -9.016998,
-		"tpJUe":                              -9.016998,
-		"tpOyTkboo":                          -9.016998,
-		"tqJpS/":                             -9.016998,
-		"tqMHKC":                             -9.016998,
-		"tr":                                 -9.016998,
-		"troff":                              -7.407560,
-		"true":                               -7.630704,
-		"try":                                -7.407560,
-		"tsrp":                               -9.016998,
-		"tt":                                 -9.016998,
-		"tuG":                                -9.016998,
-		"twTYYfiEpesSn":                      -9.016998,
-		"type":                               -8.323851,
-		"typeset":                            -7.407560,
-		"tzTC":                               -9.016998,
-		"u":                                  -7.407560,
-		"uGq":                                -9.016998,
-		"uHKXmCL":                            -9.016998,
-		"uI":                                 -9.016998,
-		"uSDSPCiLHxnril":                     -9.016998,
-		"uTNLShr":                            -9.016998,
-		"uXLGhqXNV":                          -9.016998,
-		"uZ/gPp":                             -9.016998,
-		"uZW":                                -9.016998,
-		"ubHGY/q":                            -9.016998,
-		"ucgZlb":                             -9.016998,
-		"ue":                                 -9.016998,
-		"umask":                              -8.323851,
-		"unalias":                            -8.323851,
-		"uncompress":                         -8.323851,
-		"unset":                              -6.714413,
-		"unzip":                              -8.323851,
-		"upKbLj/sRWnz":                       -9.016998,
-		"update_build_props_sbt":             -8.323851,
-		"url":                                -7.630704,
-		"url=":                               -9.016998,
-		"us":                                 -9.016998,
-		"usage":                              -8.323851,
-		"use":                                -6.937557,
-		"uses":                               -8.323851,
-		"uyc":                                -9.016998,
-		"uzPe":                               -9.016998,
-		"v":                                  -5.972476,
-		"vAUObvi":                            -9.016998,
-		"vAdksZ":                             -9.016998,
-		"vDRI":                               -9.016998,
-		"vDn":                                -9.016998,
-		"vE":                                 -9.016998,
-		"vF":                                 -9.016998,
-		"vGvV/w":                             -9.016998,
-		"vHexKX":                             -9.016998,
-		"vI/OliDK":                           -9.016998,
-		"vJbXhtf/Ybjq":                       -9.016998,
-		"vKoTf":                              -9.016998,
-		"vMQoVDqNB":                          -9.016998,
-		"vO":                                 -8.323851,
-		"vOwU":                               -9.016998,
-		"vPjiPlltLmEOvNp":                    -9.016998,
-		"vQEW":                               -9.016998,
-		"vQSPFg/hyqntcluKVrIF":               -9.016998,
-		"vQeldx":                             -9.016998,
-		"vQkrbS":                             -9.016998,
-		"vR":                                 -9.016998,
-		"vSTfyJgjVS":                         -9.016998,
-		"vUSgTPAF":                           -9.016998,
-		"vUZA":                               -9.016998,
-		"vWr":                                -9.016998,
-		"vX":                                 -9.016998,
-		"vYYsT":                              -9.016998,
-		"vac":                                -7.630704,
-		"vacfs":                              -8.323851,
-		"val":                                -8.323851,
-		"value":                              -9.016998,
-		"variable":                           -7.918386,
-		"vbW":                                -9.016998,
-		"vbt":                                -9.016998,
-		"vc":                                 -9.016998,
-		"vcxyrs":                             -9.016998,
-		"vcyCMQwCogR":                        -9.016998,
-		"vdBB":                               -9.016998,
-		"vdir":                               -8.323851,
-		"vekMg":                              -9.016998,
-		"vekRCGiLdMRfB":                      -9.016998,
-		"ver":                                -7.407560,
-		"verbose":                            -7.630704,
-		"version":                            -5.881504,
-		"versionLine":                        -8.323851,
-		"versionLine##build.scala.versions":  -9.016998,
-		"versionLine##sbt.version":           -9.016998,
-		"versionString":                      -7.918386,
-		"versions":                           -9.016998,
-		"vfXJZKUiVHuvWM":                     -9.016998,
-		"vg":                                 -9.016998,
-		"vgMeIEHiYlCvzKf":                    -9.016998,
-		"vhOUMmqAnZ":                         -9.016998,
-		"vi":                                 -8.323851,
-		"view":                               -8.323851,
-		"vim":                                -8.323851,
-		"vjPYQO":                             -9.016998,
-		"vlog":                               -9.016998,
-		"vly":                                -9.016998,
-		"vnFaVtLw":                           -9.016998,
-		"vpDLAaAg":                           -9.016998,
-		"vpIl":                               -9.016998,
-		"vq":                                 -9.016998,
-		"vrLPZ":                              -9.016998,
-		"vriHa":                              -9.016998,
-		"vrnnxPX/q":                          -9.016998,
-		"vs":                                 -9.016998,
-		"vtBRpt":                             -9.016998,
-		"vvU":                                -9.016998,
-		"vvY":                                -9.016998,
-		"vxK":                                -9.016998,
-		"vy/YKuCHEuNc":                       -9.016998,
-		"vzaNVCD/Y":                          -9.016998,
-		"vzu":                                -9.016998,
-		"w":                                  -6.072559,
-		"w//":                                -9.016998,
-		"wASbUq":                             -9.016998,
-		"wAeC":                               -9.016998,
-		"wAzVhjztfQH":                        -9.016998,
-		"wBEgvhGcn":                          -9.016998,
-		"wDJ":                                -9.016998,
-		"wDTAq":                              -9.016998,
-		"wEGb":                               -9.016998,
-		"wGHHF":                              -9.016998,
-		"wGzU":                               -9.016998,
-		"wHzd":                               -9.016998,
-		"wIAAAAABFla":                        -9.016998,
-		"wIE":                                -9.016998,
-		"wInBVE":                             -9.016998,
-		"wJ":                                 -9.016998,
-		"wK":                                 -9.016998,
-		"wLKHam":                             -9.016998,
-		"wLoKHaNUi":                          -9.016998,
-		"wMDeoIJfXl/QSnUTdL":                 -9.016998,
-		"wOVtn":                              -9.016998,
-		"wPPJkoinlCNOyyWvRLw":                -9.016998,
-		"wPZp":                               -9.016998,
-		"wPlhgp":                             -9.016998,
-		"wSuv":                               -9.016998,
-		"wTrC":                               -9.016998,
-		"wTuPO":                              -9.016998,
-		"wUt":                                -9.016998,
-		"wVNfVeuOne":                         -9.016998,
-		"wViBIwQ":                            -9.016998,
-		"wYK":                                -9.016998,
-		"want":                               -9.016998,
-		"warn":                               -9.016998,
-		"was":                                -9.016998,
-		"wbcVL":                              -9.016998,
-		"wcG":                                -9.016998,
-		"wd":                                 -9.016998,
-		"wdFhGnI":                            -9.016998,
-		"we":                                 -9.016998,
-		"were":                               -9.016998,
-		"wf":                                 -9.016998,
-		"wgeCLSeBLG":                         -9.016998,
-		"wgn":                                -9.016998,
-		"what":                               -9.016998,
-		"when":                               -8.323851,
-		"which":                              -7.630704,
-		"while":                              -7.630704,
-		"whoami":                             -8.323851,
-		"wi":                                 -9.016998,
-		"wifooev/":                           -9.016998,
-		"wiki":                               -8.323851,
-		"wine":                               -8.323851,
-		"with":                               -9.016998,
-		"wjPnwLp":                            -9.016998,
-		"wkFd":                               -9.016998,
-		"wm":                                 -9.016998,
-		"wmxuUrjmyOXVEn":                     -9.016998,
-		"wnJBxK":                             -9.016998,
-		"wor":                                -9.016998,
-		"wp":                                 -9.016998,
-		"wpSbdLRLCixP":                       -9.016998,
-		"wqMGNFjA":                           -9.016998,
-		"wrapper.jar":                        -9.016998,
-		"wrsFT":                              -9.016998,
-		"wsAZJhz":                            -9.016998,
-		"wsGw":                               -9.016998,
-		"wsys":                               -9.016998,
-		"wtOiHknMlnzUdiEje":                  -9.016998,
-		"wuD":                                -9.016998,
-		"wv":                                 -9.016998,
-		"wwvXs/DbVqQRLkckwYF":                -9.016998,
-		"wxIDS":                              -9.016998,
-		"wziA":                               -9.016998,
-		"x":                                  -5.520491,
-		"xAgfNToz":                           -9.016998,
-		"xCJmoagZBO":                         -9.016998,
-		"xFii":                               -9.016998,
-		"xGoyAIqampubm":                      -9.016998,
-		"xIHkE":                              -9.016998,
-		"xK":                                 -9.016998,
-		"xOLi":                               -9.016998,
-		"xQG":                                -9.016998,
-		"xX":                                 -9.016998,
-		"xaWuevgEPh":                         -9.016998,
-		"xakZuAOdVSGrUp":                     -9.016998,
-		"xanim":                              -8.323851,
-		"xcFMM":                              -9.016998,
-		"xcWr":                               -9.016998,
-		"xdsgwAReg":                          -9.016998,
-		"xdvi":                               -8.323851,
-		"xe":                                 -9.016998,
-		"xeCfCKHNY":                          -9.016998,
-		"xeo":                                -9.016998,
-		"xfig":                               -8.323851,
-		"xine":                               -8.323851,
-		"xjDF":                               -9.016998,
-		"xjk":                                -9.016998,
-		"xly":                                -9.016998,
-		"xm":                                 -9.016998,
-		"xmkdFwO":                            -9.016998,
-		"xmms":                               -8.323851,
-		"xnP":                                -9.016998,
-		"xoD":                                -8.323851,
-		"xond":                               -9.016998,
-		"xp":                                 -9.016998,
-		"xpdf":                               -8.323851,
-		"xr":                                 -9.016998,
-		"xtL":                                -9.016998,
-		"xtcM":                               -9.016998,
-		"xv":                                 -7.918386,
-		"xx":                                 -9.016998,
-		"xxK":                                -9.016998,
-		"xyfCjKjARYpoVYbN":                   -9.016998,
-		"xzd":                                -9.016998,
-		"y":                                  -6.452049,
-		"y/":                                 -9.016998,
-		"yATDyCs":                            -9.016998,
-		"yAudU":                              -9.016998,
-		"yBj":                                -9.016998,
-		"yCjiZHKx":                           -9.016998,
-		"yCkcKJEWiVfO":                       -9.016998,
-		"yD":                                 -9.016998,
-		"yDIb":                               -9.016998,
-		"yE":                                 -9.016998,
-		"yF":                                 -9.016998,
-		"yF/":                                -9.016998,
-		"yFiJAKj":                            -9.016998,
-		"yHk/UfkLBgUaAAS":                    -9.016998,
-		"yHtG/ONcEQVQa":                      -9.016998,
-		"yI/":                                -9.016998,
-		"yLpCVumw":                           -9.016998,
-		"yM":                                 -9.016998,
-		"yMpE":                               -9.016998,
-		"yMys":                               -9.016998,
-		"yODIh":                              -9.016998,
-		"yP":                                 -9.016998,
-		"yPEb":                               -9.016998,
-		"yQHq":                               -9.016998,
-		"ySIr":                               -9.016998,
-		"ySjinJojSOnMLg":                     -9.016998,
-		"yTVpyqz":                            -9.016998,
-		"yTi":                                -9.016998,
-		"yUUu":                               -9.016998,
-		"yV":                                 -8.323851,
-		"yVTAFu":                             -9.016998,
-		"yWCvs":                              -9.016998,
-		"yWu":                                -9.016998,
-		"yX":                                 -8.323851,
-		"yXvvzH":                             -9.016998,
-		"yYz//vTT":                           -9.016998,
-		"yZ":                                 -9.016998,
-		"yZ/mObyoidqEH":                      -9.016998,
-		"yayqiWeY":                           -9.016998,
-		"ybKHckMYySx":                        -9.016998,
-		"yeCBqPutYp":                         -9.016998,
-		"yeU":                                -9.016998,
-		"year":                               -9.016998,
-		"yes":                                -9.016998,
-		"yf":                                 -8.323851,
-		"yfpMJq":                             -9.016998,
-		"ygSF":                               -9.016998,
-		"ykr":                                -9.016998,
-		"ylO":                                -9.016998,
-		"ylesxWcgnChr":                       -9.016998,
-		"ylrywZZPIX":                         -9.016998,
-		"ymDr":                               -9.016998,
-		"ynFdwoHr":                           -9.016998,
-		"ynLcgYoXnIU":                        -9.016998,
-		"yoQcUHIDBvr":                        -9.016998,
-		"yorXH":                              -9.016998,
-		"you":                                -9.016998,
-		"your":                               -8.323851,
-		"ypSFGaHlQ":                          -9.016998,
-		"yquPiuK":                            -9.016998,
-		"yrIyeNVRJGDSkKyCZHmw":               -9.016998,
-		"yrah":                               -9.016998,
-		"yv":                                 -9.016998,
-		"yvyI":                               -9.016998,
-		"ywY":                                -9.016998,
-		"yxq":                                -9.016998,
-		"yytaWb":                             -9.016998,
-		"yzvdImA":                            -9.016998,
-		"z":                                  -5.972476,
-		"z/":                                 -9.016998,
-		"zAzro":                              -9.016998,
-		"zBDGGGGVE":                          -9.016998,
-		"zCanNxWnvKKid":                      -9.016998,
-		"zCkbAi":                             -9.016998,
-		"zE":                                 -9.016998,
-		"zEEGVuOXo":                          -9.016998,
-		"zH":                                 -9.016998,
-		"zIqZ":                               -9.016998,
-		"zIzw":                               -9.016998,
-		"zJ/Ow":                              -9.016998,
-		"zJRG":                               -9.016998,
-		"zJc":                                -9.016998,
-		"zJe":                                -9.016998,
-		"zKtAMH":                             -9.016998,
-		"zLgI":                               -9.016998,
-		"zLvcD":                              -9.016998,
-		"zMEcTgV":                            -9.016998,
-		"zMzv":                               -9.016998,
-		"zPTuPfjNqKBT":                       -9.016998,
-		"zPiR":                               -9.016998,
-		"zQj":                                -9.016998,
-		"zQkW":                               -9.016998,
-		"zQsdQ":                              -9.016998,
-		"zRFVTm":                             -9.016998,
-		"zTaBRrPo":                           -9.016998,
-		"zTggEIsdr":                          -9.016998,
-		"zTh":                                -9.016998,
-		"zVS":                                -9.016998,
-		"zVZJMEzwuNqeXrJqoZ":                 -9.016998,
-		"zVzGwXFhkCv":                        -9.016998,
-		"zXBiPRU":                            -9.016998,
-		"zXgSReFP":                           -9.016998,
-		"zZLZNalgXdhdTRdlAYSgjkz/fJHClbtWUv": -9.016998,
-		"zZnKokeDtCcWJnoeyf":                 -9.016998,
-		"zZqClAGYr":                          -9.016998,
-		"zbbOyHoUBA":                         -9.016998,
-		"zbyQOA":                             -9.016998,
-		"zca":                                -9.016998,
-		"zcat":                               -8.323851,
-		"zcmp":                               -8.323851,
-		"zdVmCEEkkjMZCNSIwcIyt":              -9.016998,
-		"zdiff":                              -8.323851,
-		"zegrep":                             -8.323851,
-		"zfgrep":                             -8.323851,
-		"zgrep":                              -8.323851,
-		"zipinfo":                            -8.323851,
-		"zitEpn":                             -9.016998,
-		"zj":                                 -9.016998,
-		"zjnAsTWpmbl":                        -9.016998,
-		"zkCd":                               -9.016998,
-		"zkDrjNQuv":                          -9.016998,
-		"zless":                              -8.323851,
-		"zm":                                 -9.016998,
-		"zmore":                              -8.323851,
-		"zn":                                 -9.016998,
-		"znHNXbTE":                           -9.016998,
-		"znew":                               -8.323851,
-		"znzaTTi":                            -9.016998,
-		"zqCi":                               -9.016998,
-		"zqh":                                -9.016998,
-		"zrRaMkcrVWleMONdG":                  -9.016998,
-		"zrpB":                               -9.016998,
-		"zt":                                 -9.016998,
-		"zvReuPR":                            -9.016998,
-		"zvqCoX":                             -9.016998,
-		"zw/bhfp":                            -9.016998,
-		"zwevglUxtXTellcCExpaKXv":            -9.016998,
-		"zxI":                                -9.016998,
-		"zxT":                                -9.016998,
-		"zxg":                                -9.016998,
-		"zyZfncpMvSEHgO":                     -9.016998,
-		"zyyvjz":                             -9.016998,
-		"{":                                  -4.768503,
-		"|":                                  -5.406080,
-		"||":                                 -6.452049,
-		"}":                                  -4.768503,
-		"~":                                  -6.072559,
+		"!": -5.362736,
+		"#": -5.219635,
+		"#############################################################################": -6.193084,
+		"#*":                                   -8.333150,
+		"#Append":                              -8.333150,
+		"#CDPATH":                              -8.333150,
+		"#Erase":                               -8.333150,
+		"#Immediately":                         -8.333150,
+		"#Number":                              -8.333150,
+		"#Share":                               -8.333150,
+		"#Where":                               -8.333150,
+		"#fils":                                -8.333150,
+		"#function":                            -8.333150,
+		"#residual_args":                       -9.026297,
+		"#sec":                                 -9.026297,
+		"#sudo":                                -8.333150,
+		"#try":                                 -9.026297,
+		"$":                                    -3.537360,
+		"%":                                    -7.416859,
+		"&":                                    -7.416859,
+		"&&":                                   -6.030565,
+		"(":                                    -4.298910,
+		")":                                    -4.206016,
+		"*":                                    -6.829073,
+		"**sbt**":                              -9.026297,
+		"*eqn*":                                -9.026297,
+		"*grap*":                               -9.026297,
+		"*pic*":                                -9.026297,
+		"*tbl*":                                -9.026297,
+		"+":                                    -3.145764,
+		",":                                    -7.080387,
+		"-":                                    -3.157000,
+		".":                                    -7.927685,
+		".*":                                   -9.026297,
+		"..":                                   -7.927685,
+		"./":                                   -9.026297,
+		".jobs.cron":                           -9.026297,
+		".jvmopts":                             -9.026297,
+		".sbt_completion.sh":                   -8.333150,
+		".sbtopts":                             -9.026297,
+		".vac":                                 -9.026297,
+		"/":                                    -6.193084,
+		"/.bashrc":                             -9.026297,
+		"/.dircolors":                          -8.333150,
+		"/.sbt/":                               -9.026297,
+		"/.zsh_history":                        -8.333150,
+		"//LVgGf/suzAzqkJt":                    -9.026297,
+		"//github.com/bumptech/stud.git":       -9.026297,
+		"//localhost":                          -9.026297,
+		"/AH":                                  -9.026297,
+		"/DmKjAW":                              -9.026297,
+		"/E":                                   -9.026297,
+		"/EAD":                                 -9.026297,
+		"/F":                                   -9.026297,
+		"/FOanhPUBnXKxp/":                      -9.026297,
+		"/Gc":                                  -9.026297,
+		"/HrlJ":                                -9.026297,
+		"/HzX":                                 -9.026297,
+		"/IF":                                  -9.026297,
+		"/Iu":                                  -9.026297,
+		"/JOyrWKjy":                            -9.026297,
+		"/JiOMc":                               -9.026297,
+		"/KinLCSvjRNBVzMUv":                    -9.026297,
+		"/KsQvfDKcB":                           -9.026297,
+		"/MMBpSNxdB":                           -9.026297,
+		"/NgJB":                                -9.026297,
+		"/PrAI":                                -9.026297,
+		"/QK":                                  -9.026297,
+		"/QOer":                                -9.026297,
+		"/RyBXI":                               -9.026297,
+		"/ShZrj":                               -9.026297,
+		"/TPp":                                 -9.026297,
+		"/Td":                                  -9.026297,
+		"/WjlMrQ":                              -9.026297,
+		"/X":                                   -9.026297,
+		"/Y":                                   -9.026297,
+		"/YKY":                                 -9.026297,
+		"/YxtQjCzJqxJPmqdxNgWXpJsEsTlV":        -9.026297,
+		"/Z":                                   -9.026297,
+		"/ZeE":                                 -9.026297,
+		"/aH":                                  -9.026297,
+		"/api":                                 -9.026297,
+		"/bImU":                                -9.026297,
+		"/bin":                                 -7.234538,
+		"/bin/bash":                            -7.234538,
+		"/bin/php":                             -9.026297,
+		"/bin/rc":                              -8.333150,
+		"/bin/sh":                              -7.927685,
+		"/bin/test":                            -7.927685,
+		"/bin/zsh":                             -8.333150,
+		"/bjtOcWm":                             -9.026297,
+		"/cB":                                  -9.026297,
+		"/cv":                                  -9.026297,
+		"/dev/null":                            -7.234538,
+		"/fh":                                  -9.026297,
+		"/hRHaWEezzw":                          -9.026297,
+		"/hV":                                  -9.026297,
+		"/iPbNpFdJ":                            -9.026297,
+		"/jY":                                  -9.026297,
+		"/kduASSb":                             -9.026297,
+		"/kj":                                  -9.026297,
+		"/lib/php.ini":                         -9.026297,
+		"/lnJ":                                 -9.026297,
+		"/m":                                   -9.026297,
+		"/mnt/wiki":                            -9.026297,
+		"/n/":                                  -9.026297,
+		"/n/dump":                              -7.927685,
+		"/n/juke":                              -9.026297,
+		"/n/kfs":                               -9.026297,
+		"/n/other":                             -9.026297,
+		"/n/snap":                              -9.026297,
+		"/n/sources":                           -9.026297,
+		"/n/sourcesdump":                       -9.026297,
+		"/n/sourcessnap":                       -9.026297,
+		"/ntu":                                 -9.026297,
+		"/o":                                   -9.026297,
+		"/opt/local/bin":                       -8.333150,
+		"/opt/local/sbin":                      -8.333150,
+		"/opt/mysql/current/bin":               -7.640003,
+		"/q":                                   -9.026297,
+		"/qVNwhTz":                             -9.026297,
+		"/qoVc":                                -9.026297,
+		"/s":                                   -8.333150,
+		"/srv/boot":                            -7.416859,
+		"/srv/il":                              -9.026297,
+		"/srv/kfs":                             -8.333150,
+		"/srv/sources":                         -8.333150,
+		"/sys/man":                             -9.026297,
+		"/sys/man/fonts":                       -9.026297,
+		"/unez":                                -9.026297,
+		"/usr":                                 -9.026297,
+		"/usr/bin":                             -7.234538,
+		"/usr/bin/clear":                       -8.333150,
+		"/usr/bin/dircolors":                   -8.333150,
+		"/usr/bin/env":                         -7.234538,
+		"/usr/ccs/bin":                         -7.640003,
+		"/usr/local/bin":                       -7.640003,
+		"/usr/local/man":                       -8.333150,
+		"/usr/local/php/inst/php":              -9.026297,
+		"/usr/local/php/phpfarm/inst/php":      -9.026297,
+		"/usr/local/sbin":                      -7.640003,
+		"/usr/openwin/bin":                     -7.640003,
+		"/usr/sbin":                            -7.640003,
+		"/usr/sfw/bin":                         -7.640003,
+		"/usr/share/man":                       -8.333150,
+		"/usr/xpg":                             -7.640003,
+		"/vIyVmh":                              -9.026297,
+		"/w":                                   -9.026297,
+		"/wccm":                                -9.026297,
+		"/y":                                   -9.026297,
+		"0":                                    -6.628402,
+		"1":                                    -5.981775,
+		"13":                                   -9.026297,
+		"2":                                    -6.030565,
+		"210":                                  -9.026297,
+		"28":                                   -9.026297,
+		"29":                                   -9.026297,
+		"3":                                    -7.927685,
+		"4":                                    -9.026297,
+		"7":                                    -8.333150,
+		"9":                                    -8.333150,
+		":":                                    -5.075054,
+		";":                                    -4.695564,
+		"<":                                    -9.026297,
+		"<$type>":                              -9.026297,
+		"</body>":                              -9.026297,
+		"</dev/null>":                          -9.026297,
+		"</dev/null}>":                         -9.026297,
+		"</html>":                              -9.026297,
+		"</pre>":                               -7.640003,
+		"<EOM>":                                -9.026297,
+		"<a>":                                  -9.026297,
+		"<body>":                               -9.026297,
+		"<danielmicay@gmail.com>":              -9.026297,
+		"<html>":                               -9.026297,
+		"<integer>":                            -9.026297,
+		"<path>":                               -7.234538,
+		"<paulp@typesafe.com>":                 -9.026297,
+		"<port>":                               -9.026297,
+		"<pre>":                                -7.640003,
+		"<version>":                            -7.927685,
+		"<{echo>":                              -9.026297,
+		"=":                                    -3.370306,
+		">":                                    -6.193084,
+		"?":                                    -7.927685,
+		"@":                                    -7.080387,
+		"A":                                    -5.730460,
+		"AALbK":                                -9.026297,
+		"AAmD":                                 -9.026297,
+		"ABDLgFvC":                             -9.026297,
+		"ACcZnREtHKno/X":                       -9.026297,
+		"ACnQHQpiWITuJddA":                     -9.026297,
+		"AEFQUEUe":                             -9.026297,
+		"AEyz":                                 -9.026297,
+		"AFoxyZJjLuj":                          -9.026297,
+		"AGPjqrm":                              -9.026297,
+		"AGYxFjJr":                             -9.026297,
+		"AIjZxXeciFHOXQvsGWdNDpp":              -9.026297,
+		"AKbyaUnNhOLjylwxqL":                   -9.026297,
+		"AMnYt":                                -9.026297,
+		"ANSI":                                 -9.026297,
+		"APP_BASE_NAME":                        -8.333150,
+		"APP_DEBUG":                            -9.026297,
+		"APP_ENV":                              -9.026297,
+		"APP_HOME":                             -8.333150,
+		"APP_HOME/gradle/wrapper/gradle":       -9.026297,
+		"APP_KEY":                              -9.026297,
+		"APP_NAME":                             -9.026297,
+		"AQCzLaW":                              -9.026297,
+		"AR":                                   -9.026297,
+		"ASY":                                  -9.026297,
+		"AT":                                   -9.026297,
+		"ATB":                                  -9.026297,
+		"ATz":                                  -9.026297,
+		"AUcKXCkr":                             -9.026297,
+		"AVXz":                                 -9.026297,
+		"AVlJa":                                -9.026297,
+		"AXEl":                                 -9.026297,
+		"AXRB":                                 -9.026297,
+		"AZ":                                   -9.026297,
+		"AZOe":                                 -9.026297,
+		"AZiOtmCfBNb":                          -9.026297,
+		"Aavlyf":                               -9.026297,
+		"Ab":                                   -9.026297,
+		"AcOdeHj":                              -9.026297,
+		"AcdWUu/SzzVQtYum":                     -9.026297,
+		"AcydAhAZdt/m":                         -9.026297,
+		"Ad":                                   -9.026297,
+		"AeIy":                                 -9.026297,
+		"Af":                                   -9.026297,
+		"AiOz":                                 -9.026297,
+		"Ajyaoq":                               -9.026297,
+		"Ak":                                   -9.026297,
+		"Al":                                   -9.026297,
+		"AlSRSgWUKumyX":                        -9.026297,
+		"AmHFK":                                -9.026297,
+		"AoY/":                                 -9.026297,
+		"ApLMwojT":                             -9.026297,
+		"AqQfE":                                -9.026297,
+		"As":                                   -7.927685,
+		"AsBgs":                                -9.026297,
+		"AswW":                                 -9.026297,
+		"Atscj":                                -9.026297,
+		"Aywzaxlyy":                            -9.026297,
+		"AzDJ":                                 -9.026297,
+		"AzOlDoJv":                             -9.026297,
+		"AzahipC":                              -9.026297,
+		"Azm":                                  -9.026297,
+		"B":                                    -5.730460,
+		"B/KJIYF":                              -9.026297,
+		"B/MIA":                                -9.026297,
+		"B/xgD/zkG/jgG/jQGPhgDn":               -9.026297,
+		"BCYYwKcI":                             -9.026297,
+		"BDDxau":                               -9.026297,
+		"BE":                                   -9.026297,
+		"BEBIaaIeV":                            -9.026297,
+		"BGnusx":                               -9.026297,
+		"BGyXV":                                -9.026297,
+		"BH/Ski":                               -9.026297,
+		"BHCMD":                                -9.026297,
+		"BJGT":                                 -9.026297,
+		"BLMsLKUTYclNJ":                        -9.026297,
+		"BLmi":                                 -9.026297,
+		"BM":                                   -9.026297,
+		"BNAQdKzB":                             -9.026297,
+		"BQEXW":                                -9.026297,
+		"BRXCyPihcTQNXMFdSoMSmV":               -9.026297,
+		"BSYlfXmfqZnZ/lCZK":                    -9.026297,
+		"BTUkxjyShVbMbe":                       -9.026297,
+		"BUkbRc":                               -9.026297,
+		"BUrS":                                 -9.026297,
+		"BUsMzLXIZH":                           -9.026297,
+		"BWwGS":                                -9.026297,
+		"BXA":                                  -9.026297,
+		"BZHBEWXJZSgwMAPl":                     -9.026297,
+		"BaFQ":                                 -9.026297,
+		"BanN/IvQxxpSfwj":                      -9.026297,
+		"BaxPVrf":                              -9.026297,
+		"Bb":                                   -9.026297,
+		"Bbl":                                  -9.026297,
+		"BctAazUoUTPvPmdPwhtEDQ":               -9.026297,
+		"BdfFdmh":                              -9.026297,
+		"BfXS":                                 -9.026297,
+		"BhjYA":                                -9.026297,
+		"BhrQLj":                               -9.026297,
+		"Bhw":                                  -9.026297,
+		"BiHCj":                                -9.026297,
+		"BisSSeX":                              -9.026297,
+		"BiwR":                                 -9.026297,
+		"Bj":                                   -9.026297,
+		"BjHfroDoZl":                           -9.026297,
+		"BjadbwMRe":                            -9.026297,
+		"BjguU":                                -9.026297,
+		"BkjUd":                                -9.026297,
+		"BkpwnYlZxvBqGGv":                      -9.026297,
+		"BlgLvzcqJ":                            -9.026297,
+		"BmnYJH":                               -9.026297,
+		"BnN":                                  -9.026297,
+		"BoD":                                  -9.026297,
+		"BoRz":                                 -9.026297,
+		"BocztwT":                              -9.026297,
+		"BpDgX":                                -9.026297,
+		"Br":                                   -8.333150,
+		"Bs":                                   -9.026297,
+		"Btcj/spByJxKe":                        -9.026297,
+		"BtmkUnMId":                            -9.026297,
+		"BtveMkMQvdrK":                         -9.026297,
+		"BuqE":                                 -9.026297,
+		"Bxv":                                  -9.026297,
+		"By":                                   -9.026297,
+		"By/HdnViVsRoB":                        -9.026297,
+		"Bz":                                   -9.026297,
+		"Bzedg":                                -9.026297,
+		"BzqCb":                                -9.026297,
+		"BzqlClIqlxLnKunV":                     -9.026297,
+		"C":                                    -6.135926,
+		"C/ML":                                 -9.026297,
+		"CA":                                   -9.026297,
+		"CACHE_DRIVER":                         -9.026297,
+		"CAXTLDpyq":                            -9.026297,
+		"CBE":                                  -9.026297,
+		"CFVxfwcGoE":                           -9.026297,
+		"CFg":                                  -9.026297,
+		"CFuP":                                 -9.026297,
+		"CHYWE":                                -9.026297,
+		"CHYiPem":                              -9.026297,
+		"CJXlgeIAPF":                           -9.026297,
+		"CLASSPATH":                            -7.927685,
+		"CLICOLOR":                             -8.333150,
+		"CNgUDc":                               -9.026297,
+		"CPGyeEsOOn":                           -9.026297,
+		"CR":                                   -8.333150,
+		"CSO":                                  -9.026297,
+		"CSnqXj":                               -9.026297,
+		"CT/WG":                                -9.026297,
+		"CU/PjfaYbx":                           -9.026297,
+		"CUxKAamXwBvdR":                        -9.026297,
+		"CVEkoJ":                               -9.026297,
+		"CVRpWLz":                              -9.026297,
+		"CVb":                                  -9.026297,
+		"CW":                                   -9.026297,
+		"CX":                                   -9.026297,
+		"CX/KegUQ":                             -9.026297,
+		"CYGWIN*":                              -9.026297,
+		"CYlQwM":                               -9.026297,
+		"CZ":                                   -8.333150,
+		"Ca":                                   -9.026297,
+		"CaJPiLD":                              -9.026297,
+		"Cc":                                   -9.026297,
+		"CcSEfmqe":                             -9.026297,
+		"CcmLzieFKf":                           -9.026297,
+		"CevvvKLJNI":                           -9.026297,
+		"CfKmx":                                -9.026297,
+		"CghdZC":                               -9.026297,
+		"CgkDWs":                               -9.026297,
+		"ChBl":                                 -9.026297,
+		"Chi":                                  -9.026297,
+		"CiaxvCincy":                           -9.026297,
+		"CjInOUxv":                             -9.026297,
+		"CjtWRGlvuz":                           -9.026297,
+		"CkYUpyn":                              -9.026297,
+		"Cm":                                   -9.026297,
+		"Cmc":                                  -9.026297,
+		"CmoOsja":                              -9.026297,
+		"CoKKzqqHxVBs":                         -9.026297,
+		"Could":                                -8.333150,
+		"Cqjf":                                 -9.026297,
+		"CrLEM":                                -9.026297,
+		"CrsquEyREn":                           -9.026297,
+		"Cs":                                   -9.026297,
+		"CsBMXSOR":                             -9.026297,
+		"CscpOPrgGIBjCI":                       -9.026297,
+		"CskZIujzvXEa":                         -9.026297,
+		"CuVNXnGptNSgEcqkfYvzzJcrq":            -9.026297,
+		"Cx":                                   -9.026297,
+		"D":                                    -6.541391,
+		"DB":                                   -9.026297,
+		"DB_DATABASE":                          -9.026297,
+		"DB_HOST":                              -9.026297,
+		"DB_PASSWORD":                          -9.026297,
+		"DB_USERNAME":                          -9.026297,
+		"DBe":                                  -9.026297,
+		"DC":                                   -9.026297,
+		"DCEqqm":                               -9.026297,
+		"DChV/KyC":                             -9.026297,
+		"DEFAULT_JVM_OPTS":                     -9.026297,
+		"DESTDIR":                              -9.026297,
+		"DGrO":                                 -9.026297,
+		"DH":                                   -9.026297,
+		"DHUV":                                 -9.026297,
+		"DILVuW":                               -9.026297,
+		"DISPLAY":                              -8.333150,
+		"DJl":                                  -9.026297,
+		"DLRZTU":                               -9.026297,
+		"DNPQN":                                -9.026297,
+		"DNfvYP":                               -9.026297,
+		"DOK":                                  -9.026297,
+		"DOxZ":                                 -9.026297,
+		"DQSu/hsxZC":                           -9.026297,
+		"DRE":                                  -9.026297,
+		"DSjeFTjELofYxwZq":                     -9.026297,
+		"DTObjpHNx":                            -9.026297,
+		"DTWnm":                                -9.026297,
+		"DU":                                   -9.026297,
+		"DV/eMw/pss":                           -9.026297,
+		"DW":                                   -8.333150,
+		"DXbTc":                                -9.026297,
+		"DY":                                   -8.333150,
+		"DYe":                                  -9.026297,
+		"DYuOZBZIEodzHsrDw":                    -9.026297,
+		"DZXCNmwJkSKIEXCsbiQpvjq":              -9.026297,
+		"DZuw":                                 -9.026297,
+		"DaNv":                                 -9.026297,
+		"DacPOdIwSfKrE/YlRpM":                  -9.026297,
+		"Darwin*":                              -9.026297,
+		"DdKr":                                 -9.026297,
+		"DdOe":                                 -9.026297,
+		"De":                                   -9.026297,
+		"DeSbRKiQ":                             -9.026297,
+		"Debug":                                -8.333150,
+		"Detected":                             -9.026297,
+		"DfPuSeXDuKPHsPCQ/d/wRbhc":             -9.026297,
+		"DfWunRn":                              -9.026297,
+		"Dfso":                                 -9.026297,
+		"Dg":                                   -9.026297,
+		"Di":                                   -9.026297,
+		"Dj":                                   -8.333150,
+		"Dkey":                                 -8.333150,
+		"DlPZQXP":                              -9.026297,
+		"DlzagVyeZRzQvBlmwG":                   -9.026297,
+		"Dm":                                   -8.333150,
+		"DmRftAKfyYb":                          -9.026297,
+		"DnRw":                                 -9.026297,
+		"DoL":                                  -9.026297,
+		"Dorg.gradle.appname":                  -9.026297,
+		"DpCms":                                -9.026297,
+		"DpHQKLS":                              -9.026297,
+		"DqgdscAUIRBd":                         -9.026297,
+		"DrqrN":                                -9.026297,
+		"DscG":                                 -9.026297,
+		"DsrwiYafzPgga":                        -9.026297,
+		"DttzE/Q":                              -9.026297,
+		"DuYokpe":                              -9.026297,
+		"Dvkvo":                                -9.026297,
+		"DyCd":                                 -9.026297,
+		"DzLNeptoZZpZ":                         -9.026297,
+		"DzutDCuxU":                            -9.026297,
+		"E":                                    -6.253709,
+		"EAg":                                  -9.026297,
+		"EBTdCTPebEPmYhtedcL":                  -9.026297,
+		"EBTi":                                 -9.026297,
+		"ECA":                                  -9.026297,
+		"ECR":                                  -9.026297,
+		"ECWiNrD":                              -9.026297,
+		"EEwHbSrQPtxLlx":                       -9.026297,
+		"EFpoEf":                               -9.026297,
+		"EH":                                   -8.333150,
+		"EHZXkVtffKeyxg":                       -9.026297,
+		"EIH":                                  -9.026297,
+		"EIViocxkAY":                           -9.026297,
+		"EJGMz":                                -9.026297,
+		"EJwaa":                                -9.026297,
+		"EL":                                   -9.026297,
+		"ENghSA":                               -9.026297,
+		"EOCVMlJ":                              -9.026297,
+		"EOM":                                  -9.026297,
+		"EOj":                                  -9.026297,
+		"EPN":                                  -9.026297,
+		"ES":                                   -9.026297,
+		"ESmtqdoGqj":                           -9.026297,
+		"ETN":                                  -9.026297,
+		"EY/md":                                -9.026297,
+		"EZaGVi":                               -9.026297,
+		"Ea":                                   -9.026297,
+		"EagscUiByVN":                          -9.026297,
+		"Ec":                                   -9.026297,
+		"Ec/hAiE":                              -9.026297,
+		"EcbKeQ/yg":                            -9.026297,
+		"EdOdIiF":                              -9.026297,
+		"EdVfBkx/fUyPdTf":                      -9.026297,
+		"EdqAmR":                               -9.026297,
+		"Eego":                                 -9.026297,
+		"EgwougkKTm":                           -9.026297,
+		"EiEik":                                -9.026297,
+		"EjtojePYQ":                            -9.026297,
+		"EkQffzQYoQNjHnbK":                     -9.026297,
+		"EkmN":                                 -9.026297,
+		"ElANY":                                -9.026297,
+		"ElAV":                                 -9.026297,
+		"En":                                   -9.026297,
+		"Ens/nWw/fpb/":                         -9.026297,
+		"EppCB":                                -9.026297,
+		"EqNa/HHNJUzty":                        -9.026297,
+		"EqP":                                  -9.026297,
+		"Er":                                   -9.026297,
+		"Error":                                -8.333150,
+		"EuWttPcRuEAxOubvzj":                   -9.026297,
+		"EujjRblfTFKOmzca":                     -9.026297,
+		"Ev":                                   -9.026297,
+		"EvqA":                                 -9.026297,
+		"Ewle":                                 -9.026297,
+		"Experimental":                         -9.026297,
+		"EyRsB":                                -9.026297,
+		"EycMyYcfMVWwoKfubI":                   -9.026297,
+		"Ezfez":                                -9.026297,
+		"F":                                    -6.946856,
+		"FIAnG":                                -9.026297,
+		"FLASK_APP":                            -9.026297,
+		"FLASK_ENV":                            -9.026297,
+		"FONTS":                                -9.026297,
+		"FShEBmy":                              -9.026297,
+		"FTUjJny":                              -9.026297,
+		"Fk":                                   -9.026297,
+		"FlokAD":                               -9.026297,
+		"FlqXVhh":                              -9.026297,
+		"FpPPFlBWl":                            -9.026297,
+		"FvshC":                                -9.026297,
+		"G":                                    -6.541391,
+		"G/za":                                 -9.026297,
+		"GAjKCGjUtEIIYFsekq":                   -9.026297,
+		"GCEqHINnBXFEd":                        -9.026297,
+		"GDE":                                  -9.026297,
+		"GEKBPndM":                             -9.026297,
+		"GGRUTwAw":                             -9.026297,
+		"GGma":                                 -9.026297,
+		"GI":                                   -9.026297,
+		"GJane":                                -9.026297,
+		"GK":                                   -9.026297,
+		"GNIWd":                                -9.026297,
+		"GNKpRow":                              -9.026297,
+		"GNYkJeOxzvqayhqL":                     -9.026297,
+		"GNZ":                                  -9.026297,
+		"GOa":                                  -9.026297,
+		"GPAn":                                 -9.026297,
+		"GQMtdxRMQ":                            -9.026297,
+		"GQtJ":                                 -9.026297,
+		"GRADLE_CYGPATTERN":                    -8.333150,
+		"GRADLE_OPTS":                          -9.026297,
+		"GREP_OPTIONS":                         -9.026297,
+		"GRGvdlLqNh":                           -9.026297,
+		"GSwm":                                 -9.026297,
+		"GT/":                                  -9.026297,
+		"GTZnQXtd":                             -9.026297,
+		"GU":                                   -9.026297,
+		"GUL":                                  -9.026297,
+		"GVMBb":                                -9.026297,
+		"GVq":                                  -9.026297,
+		"GWZ/":                                 -9.026297,
+		"GXDDi":                                -9.026297,
+		"GXXyJnoNhQk":                          -9.026297,
+		"GYnrCt":                               -9.026297,
+		"Ga":                                   -9.026297,
+		"GbQpBUjKRQVrTE":                       -9.026297,
+		"GcHAZvT":                              -9.026297,
+		"Gdl":                                  -9.026297,
+		"GdzWamXkyY":                           -9.026297,
+		"GePtlaMDQ/":                           -9.026297,
+		"GfE":                                  -9.026297,
+		"GgQ":                                  -9.026297,
+		"GiKHpDq/xuhXM":                        -9.026297,
+		"GiQHtodfLG":                           -9.026297,
+		"Global":                               -8.333150,
+		"GmovIBtyo":                            -9.026297,
+		"Gnb":                                  -9.026297,
+		"GqLV":                                 -9.026297,
+		"GqnC":                                 -9.026297,
+		"GscaUBi":                              -9.026297,
+		"GtMOEeXA":                             -9.026297,
+		"Gu":                                   -9.026297,
+		"GzjBJQ":                               -9.026297,
+		"H":                                    -6.193084,
+		"HCR":                                  -9.026297,
+		"HChL":                                 -9.026297,
+		"HFG":                                  -9.026297,
+		"HFo":                                  -9.026297,
+		"HFvcgBEDZoqyNfSfcCEEyxaai":            -9.026297,
+		"HIJ/JuD":                              -9.026297,
+		"HISTCONTROL":                          -8.333150,
+		"HISTDUP":                              -8.333150,
+		"HISTFILE":                             -8.333150,
+		"HISTIGNORE":                           -8.333150,
+		"HJ":                                   -9.026297,
+		"HJWSIc":                               -9.026297,
+		"HK":                                   -9.026297,
+		"HLIHI":                                -9.026297,
+		"HLQJ":                                 -9.026297,
+		"HM":                                   -9.026297,
+		"HNcwQoeYU":                            -9.026297,
+		"HOME/.common":                         -7.640003,
+		"HOME/.custom":                         -7.640003,
+		"HOME/.zsh/func":                       -8.333150,
+		"HObwpJ":                               -9.026297,
+		"HPA":                                  -9.026297,
+		"HPPPed":                               -9.026297,
+		"HR":                                   -9.026297,
+		"HRRg":                                 -9.026297,
+		"HRzumcQMsAxeHsiZKsSRG":                -9.026297,
+		"HVbNioubPsrdK":                        -9.026297,
+		"HXdb":                                 -9.026297,
+		"HYn":                                  -9.026297,
+		"HYq":                                  -9.026297,
+		"HZwqWAgd":                             -9.026297,
+		"HaEg":                                 -9.026297,
+		"Hce":                                  -9.026297,
+		"HdjCsO":                               -9.026297,
+		"Hfkdmiknb":                            -9.026297,
+		"HfpRgz":                               -9.026297,
+		"Hh":                                   -9.026297,
+		"HiQypOoqwANP":                         -9.026297,
+		"Hidp":                                 -9.026297,
+		"Hj":                                   -9.026297,
+		"HkvjJLES":                             -9.026297,
+		"HkvjYioBQYHkfnwYSiJ":                  -9.026297,
+		"Hl":                                   -9.026297,
+		"Hm":                                   -9.026297,
+		"Ho":                                   -9.026297,
+		"HoWrKv":                               -9.026297,
+		"Hp":                                   -9.026297,
+		"HplPFahnFO":                           -9.026297,
+		"Hr":                                   -9.026297,
+		"HtnAq":                                -9.026297,
+		"Hve":                                  -9.026297,
+		"Hw":                                   -9.026297,
+		"Hx":                                   -9.026297,
+		"I":                                    -6.135926,
+		"ICRC":                                 -9.026297,
+		"ICzNBXo":                              -9.026297,
+		"IF":                                   -9.026297,
+		"IFS=":                                 -9.026297,
+		"IFhx/":                                -9.026297,
+		"IFvUJgxuDn":                           -9.026297,
+		"IGLy":                                 -9.026297,
+		"IIUc":                                 -9.026297,
+		"IIidsV":                               -9.026297,
+		"IJzrhiGuGfwUO":                        -9.026297,
+		"IKhHoM":                               -9.026297,
+		"IL/h":                                 -9.026297,
+		"IMQdgQhIZCbffsXyjTeLzlW":              -9.026297,
+		"IOMeZTFwws":                           -9.026297,
+		"IPZo":                                 -9.026297,
+		"IQ":                                   -9.026297,
+		"IQynHn/p":                             -9.026297,
+		"IR":                                   -9.026297,
+		"IRSAZOAE":                             -9.026297,
+		"ISdId/INxb":                           -9.026297,
+		"ISmgKNvPcCXI":                         -9.026297,
+		"IStHUlaOsqwcSVk":                      -9.026297,
+		"ITAxT":                                -9.026297,
+		"ITtT":                                 -9.026297,
+		"ITw":                                  -9.026297,
+		"IUh":                                  -9.026297,
+		"IV":                                   -9.026297,
+		"IWmo/YrXjbe":                          -9.026297,
+		"IYFKEHxGrvfNx":                        -9.026297,
+		"IYwJFC":                               -9.026297,
+		"IbkZoo":                               -9.026297,
+		"Ic":                                   -9.026297,
+		"IcL":                                  -9.026297,
+		"Icbz":                                 -9.026297,
+		"IdGQejR":                              -9.026297,
+		"IeVwFM":                               -9.026297,
+		"Iefv":                                 -9.026297,
+		"Iew":                                  -9.026297,
+		"If":                                   -9.026297,
+		"IfVuuHW":                              -9.026297,
+		"Ifuc":                                 -9.026297,
+		"IhO":                                  -9.026297,
+		"IkDO":                                 -9.026297,
+		"ImXeImXeImXeImXeImXeImXePl/LH":        -9.026297,
+		"Imr/gi":                               -9.026297,
+		"In":                                   -9.026297,
+		"In/qjrMO":                             -9.026297,
+		"InhOKrwcug":                           -9.026297,
+		"Ink":                                  -9.026297,
+		"InnV":                                 -9.026297,
+		"IrJDNeJad":                            -9.026297,
+		"IrsrMe":                               -9.026297,
+		"It":                                   -9.026297,
+		"IuyDUf":                               -9.026297,
+		"IwDHlwiP":                             -9.026297,
+		"IxpL/aAZGXwVuaB//":                    -9.026297,
+		"IywgRSeovki":                          -9.026297,
+		"Iz":                                   -9.026297,
+		"IzlNs":                                -9.026297,
+		"J":                                    -6.387240,
+		"JAAnS":                                -9.026297,
+		"JAK":                                  -9.026297,
+		"JAVACMD":                              -8.333150,
+		"JAVA_HOME":                            -8.333150,
+		"JAVA_OPTS":                            -9.026297,
+		"JAbGNBl":                              -9.026297,
+		"JAja":                                 -9.026297,
+		"JB":                                   -9.026297,
+		"JBEQicj":                              -9.026297,
+		"JBUeZJv":                              -9.026297,
+		"JBfWbdjBebevPNQ":                      -9.026297,
+		"JBsA":                                 -9.026297,
+		"JC":                                   -9.026297,
+		"JFfHQZL":                              -9.026297,
+		"JGBG/WJJHsExnHPse":                    -9.026297,
+		"JGCuAf/k":                             -9.026297,
+		"JGSAMcFCxkAb":                         -9.026297,
+		"JGvi":                                 -9.026297,
+		"JHeNIn":                               -9.026297,
+		"JIMnaKkC":                             -9.026297,
+		"JKLgKksj/MVgCZcGPhLoFFGzrHIYVVl":      -9.026297,
+		"JM":                                   -9.026297,
+		"JMG/WFqL":                             -9.026297,
+		"JN":                                   -9.026297,
+		"JNthRp":                               -9.026297,
+		"JNupa":                                -9.026297,
+		"JOtq":                                 -9.026297,
+		"JPEx":                                 -9.026297,
+		"JR":                                   -9.026297,
+		"JSEKZlu":                              -9.026297,
+		"JSGv":                                 -9.026297,
+		"JSllXEoZtRUTmyWSimjl":                 -9.026297,
+		"JVBOsqeCsaIOkNzkthQCImwtQUVakga":      -9.026297,
+		"JVM_OPTS":                             -9.026297,
+		"JVU":                                  -9.026297,
+		"JXGUH":                                -9.026297,
+		"JXgnui":                               -9.026297,
+		"JYRlSqNYa":                            -9.026297,
+		"JZF":                                  -9.026297,
+		"JZZ/G":                                -9.026297,
+		"JaSM":                                 -9.026297,
+		"Java":                                 -9.026297,
+		"Jd":                                   -9.026297,
+		"JdlDmckFeUl":                          -9.026297,
+		"Je":                                   -9.026297,
+		"JepSqstJ":                             -9.026297,
+		"JfTS":                                 -9.026297,
+		"JiFVbqXgpmi":                          -9.026297,
+		"Jj":                                   -9.026297,
+		"Jka":                                  -9.026297,
+		"Jm/A/":                                -9.026297,
+		"JnH":                                  -9.026297,
+		"Jo":                                   -9.026297,
+		"JrwIGrvC":                             -9.026297,
+		"Js":                                   -9.026297,
+		"JuUjBIF":                              -9.026297,
+		"JuhRcBEfXXSNlzPIcTzg":                 -9.026297,
+		"JvddjbV":                              -9.026297,
+		"Jw":                                   -9.026297,
+		"JwFQpoF":                              -9.026297,
+		"JwGS":                                 -9.026297,
+		"JwJspATXEPFy":                         -9.026297,
+		"JxX":                                  -9.026297,
+		"JyhlWXUYszpIvZOOr":                    -9.026297,
+		"JzAKeoV":                              -9.026297,
+		"K":                                    -6.193084,
+		"KASeYfZ":                              -9.026297,
+		"KAkOqexYKySrmfgPeBGIizR/VTNLcrxc":     -9.026297,
+		"KDO/RE":                               -9.026297,
+		"KDRkJ":                                -9.026297,
+		"KE":                                   -9.026297,
+		"KFUSHYNIlc":                           -9.026297,
+		"KFz":                                  -9.026297,
+		"KKzy":                                 -9.026297,
+		"KLZTTfWfCB":                           -9.026297,
+		"KM":                                   -9.026297,
+		"KMivoNJ/JIxy":                         -9.026297,
+		"KOKJLlc":                              -9.026297,
+		"KQ/yNZR":                              -9.026297,
+		"KRQjwFpLKnQE":                         -9.026297,
+		"KU":                                   -9.026297,
+		"KUF/":                                 -9.026297,
+		"KWK":                                  -9.026297,
+		"KZQxIfwwwO/iDI":                       -9.026297,
+		"KZqgZ":                                -9.026297,
+		"KZzcNM":                               -9.026297,
+		"KbUNSWRq":                             -9.026297,
+		"KbV":                                  -9.026297,
+		"Kd":                                   -9.026297,
+		"KdQQ":                                 -9.026297,
+		"KeWB":                                 -9.026297,
+		"Kei/yMoQpRhAsd":                       -9.026297,
+		"KfxdZ":                                -9.026297,
+		"Kgwy":                                 -9.026297,
+		"KhRz":                                 -9.026297,
+		"KiYL/i":                               -9.026297,
+		"Kj":                                   -9.026297,
+		"KjJ":                                  -9.026297,
+		"Kjd":                                  -9.026297,
+		"KkukPUXa":                             -9.026297,
+		"KnvqjFuTBr":                           -9.026297,
+		"Kp":                                   -9.026297,
+		"KqKfW":                                -9.026297,
+		"Kqe":                                  -9.026297,
+		"KrX":                                  -9.026297,
+		"Kubzmk":                               -9.026297,
+		"Kuy":                                  -9.026297,
+		"KwledV":                               -9.026297,
+		"KxNRkU":                               -9.026297,
+		"KyXUgHiIQJM":                          -9.026297,
+		"Kz":                                   -9.026297,
+		"L":                                    -7.640003,
+		"L/febGOpRO/QKUyEduPb":                 -9.026297,
+		"LBgbVSluPTrqqShkOwEUIQ":               -9.026297,
+		"LIWha":                                -9.026297,
+		"LTLUBG":                               -9.026297,
+		"LZXoQ":                                -9.026297,
+		"Lb/":                                  -9.026297,
+		"Level":                                -8.333150,
+		"Lflag":                                -7.234538,
+		"Lm":                                   -9.026297,
+		"M":                                    -6.461348,
+		"M/QdXCiDTjM":                          -9.026297,
+		"MAN":                                  -7.416859,
+		"MANPATH":                              -8.333150,
+		"MAX_FD":                               -7.640003,
+		"MAX_FD_LIMIT":                         -8.333150,
+		"MAq":                                  -9.026297,
+		"MB":                                   -8.333150,
+		"MBTXul":                               -9.026297,
+		"MDf":                                  -9.026297,
+		"MFjHvaWyeEw":                          -9.026297,
+		"MG":                                   -9.026297,
+		"MH":                                   -9.026297,
+		"MHAp":                                 -9.026297,
+		"MIF":                                  -9.026297,
+		"MINGW*":                               -9.026297,
+		"MLx":                                  -9.026297,
+		"MMAdFeeSJAw":                          -9.026297,
+		"MMKqgfiXSh":                           -9.026297,
+		"MNCIXLf":                              -9.026297,
+		"MQ":                                   -9.026297,
+		"MQHqJyjw":                             -9.026297,
+		"MQLC":                                 -9.026297,
+		"MQNZKMKDG":                            -9.026297,
+		"MQtf":                                 -9.026297,
+		"MRlyllf":                              -9.026297,
+		"MRn":                                  -9.026297,
+		"MS":                                   -9.026297,
+		"MTeksb":                               -9.026297,
+		"MYMMvceMEPLrpvx":                      -9.026297,
+		"MZ":                                   -9.026297,
+		"MZE":                                  -9.026297,
+		"MbuaZN/Z":                             -9.026297,
+		"McxhKqWIwY":                           -9.026297,
+		"MdpaEhCWevIj":                         -9.026297,
+		"MfToQ":                                -9.026297,
+		"Mfl":                                  -9.026297,
+		"MfqETj":                               -9.026297,
+		"MfuMUfsR":                             -9.026297,
+		"Mg":                                   -9.026297,
+		"MhjOA":                                -9.026297,
+		"MjgHYrd///CRmPYMJoPVne":               -9.026297,
+		"MjsaVug":                              -9.026297,
+		"Mk":                                   -9.026297,
+		"MlWFHgRkIz":                           -9.026297,
+		"Mm":                                   -9.026297,
+		"MoCTrDPH":                             -9.026297,
+		"MoZ":                                  -9.026297,
+		"Mopj":                                 -9.026297,
+		"Mp":                                   -9.026297,
+		"MpDrJoMMnkOj":                         -9.026297,
+		"MpoID":                                -9.026297,
+		"MprCdZKnxPep":                         -9.026297,
+		"Mq":                                   -9.026297,
+		"Mqy":                                  -9.026297,
+		"Mrr":                                  -9.026297,
+		"MwV":                                  -9.026297,
+		"MwiDbRmGQVxMYBOHH":                    -9.026297,
+		"MxU":                                  -9.026297,
+		"MyFcvwjvz":                            -9.026297,
+		"MykyStl":                              -9.026297,
+		"MyndHUyicX":                           -9.026297,
+		"MzGYw":                                -9.026297,
+		"N":                                    -7.234538,
+		"N/baMSwUuJ":                           -9.026297,
+		"NA":                                   -9.026297,
+		"NANjJaLd":                             -9.026297,
+		"NBeaPxfsK/KNGfZz":                     -9.026297,
+		"NF":                                   -9.026297,
+		"NFhsR/g":                              -9.026297,
+		"NFs":                                  -9.026297,
+		"NI":                                   -9.026297,
+		"NLMIeYjuMOvN":                         -9.026297,
+		"NLeE/xCH":                             -9.026297,
+		"NOLxT":                                -9.026297,
+		"NPW":                                  -9.026297,
+		"NPgDOxuLqSdQ":                         -9.026297,
+		"NT":                                   -8.333150,
+		"NTDS":                                 -9.026297,
+		"NUEWc":                                -9.026297,
+		"NUFjCIqRhGU":                          -9.026297,
+		"NVAkOLDiDi":                           -9.026297,
+		"NYcCo":                                -9.026297,
+		"NZTTcQtxBK":                           -9.026297,
+		"NZvI":                                 -9.026297,
+		"NaKYUVS":                              -9.026297,
+		"NaTm":                                 -9.026297,
+		"NbL":                                  -9.026297,
+		"NbkZV":                                -9.026297,
+		"Nd":                                   -9.026297,
+		"NdXWZ":                                -9.026297,
+		"Ne":                                   -9.026297,
+		"NeuNXugCjI":                           -9.026297,
+		"Nflag":                                -7.080387,
+		"NfyQMR":                               -9.026297,
+		"NhfLIv":                               -9.026297,
+		"NhwcIXqONCI":                          -9.026297,
+		"NjC":                                  -9.026297,
+		"NkItiHgYGO":                           -9.026297,
+		"NkaBO":                                -9.026297,
+		"Nlk":                                  -9.026297,
+		"Nm":                                   -9.026297,
+		"Nmmefxa/NNQVwmp":                      -9.026297,
+		"NnaFYb":                               -9.026297,
+		"Nooh":                                 -9.026297,
+		"NoxcA/":                               -9.026297,
+		"NpaW":                                 -9.026297,
+		"Npv":                                  -9.026297,
+		"NpygY":                                -9.026297,
+		"NqhTjUlAgP":                           -9.026297,
+		"Ns":                                   -9.026297,
+		"NskZqi":                               -9.026297,
+		"NtHLMPep":                             -9.026297,
+		"NtYvFQiG":                             -9.026297,
+		"NtaDB":                                -9.026297,
+		"Nw":                                   -9.026297,
+		"Nwocnsxbt/pCxZK":                      -9.026297,
+		"NxR":                                  -9.026297,
+		"NyDewiogE":                            -9.026297,
+		"NyFq":                                 -9.026297,
+		"NyTAlv":                               -9.026297,
+		"Nz":                                   -9.026297,
+		"O":                                    -6.628402,
+		"OA/jk/TUPDm":                          -9.026297,
+		"ODSBrFbG":                             -9.026297,
+		"OEfexmMKISvKzAiEr":                    -9.026297,
+		"OFuyRTZeTgtIzgggiqrqv":                -9.026297,
+		"OIYWCU":                               -9.026297,
+		"OMEFLaW":                              -9.026297,
+		"OPYv":                                 -9.026297,
+		"OPtp":                                 -9.026297,
+		"OQ":                                   -9.026297,
+		"OQFiEpqCuz":                           -9.026297,
+		"OTvnsHMuO":                            -9.026297,
+		"OURCYGPATTERN":                        -8.333150,
+		"OUlRAPkcfzA":                          -9.026297,
+		"OUwc":                                 -9.026297,
+		"OWKU":                                 -9.026297,
+		"OWfmnzIH":                             -9.026297,
+		"OX":                                   -9.026297,
+		"OY":                                   -9.026297,
+		"OYn":                                  -9.026297,
+		"OZTHZjFDaDSwdPhYTcCSKJRlltNuOTkQlhGh": -9.026297,
+		"ObjXTvVRu":                            -9.026297,
+		"OckgnkyZziYlKw":                       -9.026297,
+		"OcvXSxBGjsq":                          -9.026297,
+		"OdOeUlcogbDR":                         -9.026297,
+		"OemYKiu":                              -9.026297,
+		"OfvNKQaCuohNIxIuA":                    -9.026297,
+		"Ogc":                                  -9.026297,
+		"OhTjo":                                -9.026297,
+		"Ohxib":                                -9.026297,
+		"OjyU":                                 -9.026297,
+		"Olak":                                 -9.026297,
+		"OlbTpiBZVmmCB":                        -9.026297,
+		"Olnb":                                 -9.026297,
+		"OnF/":                                 -9.026297,
+		"Oncxvo":                               -9.026297,
+		"Oqv":                                  -9.026297,
+		"Orko":                                 -9.026297,
+		"Osnmm":                                -9.026297,
+		"OuYUcACdtcPeIfrNdiqz/wT":              -9.026297,
+		"Ov":                                   -9.026297,
+		"Overriding":                           -9.026297,
+		"OvfuG/ue":                             -9.026297,
+		"Ow":                                   -9.026297,
+		"Ox":                                   -9.026297,
+		"OxyMOUTnYPp":                          -9.026297,
+		"OyFruT":                               -9.026297,
+		"OyiNqr/":                              -9.026297,
+		"OyrboOk":                              -9.026297,
+		"OzPJLTCoK":                            -9.026297,
+		"OzVE/pvZ":                             -9.026297,
+		"P":                                    -6.030565,
+		"PAIWl":                                -9.026297,
+		"PATH":                                 -6.723712,
+		"PAre/R":                               -9.026297,
+		"PC":                                   -9.026297,
+		"PCNE":                                 -9.026297,
+		"PERg":                                 -9.026297,
+		"PFtTBMEnCKII":                         -9.026297,
+		"PGGCzwPOGVAz":                         -9.026297,
+		"PHPRC":                                -8.333150,
+		"PHP_FCGI_CHILDREN":                    -8.333150,
+		"PHP_FCGI_MAX_REQUESTS":                -8.333150,
+		"PKThJ":                                -9.026297,
+		"PKU":                                  -9.026297,
+		"PLRwfe":                               -9.026297,
+		"PMAggdhANpQfHlLh":                     -9.026297,
+		"PMbjgAMTZQqKrgFzKzm":                  -9.026297,
+		"POSTFIX":                              -8.333150,
+		"PP":                                   -9.026297,
+		"PPunVBfpg":                            -9.026297,
+		"PQ":                                   -9.026297,
+		"PREFIX":                               -9.026297,
+		"PRG":                                  -7.640003,
+		"PROMPT_COMMAND":                       -8.333150,
+		"PS":                                   -9.026297,
+		"PTJJ":                                 -9.026297,
+		"PUSHURL":                              -9.026297,
+		"PVI":                                  -9.026297,
+		"PWH":                                  -9.026297,
+		"PYVKp":                                -9.026297,
+		"PZjbcY":                               -9.026297,
+		"PaKvbxUGkvN":                          -9.026297,
+		"Pcpxq":                                -9.026297,
+		"Pcs":                                  -9.026297,
+		"PgWagT":                               -9.026297,
+		"Pgd":                                  -9.026297,
+		"Pk":                                   -8.333150,
+		"PkYTUtManblISOFg":                     -9.026297,
+		"PkdqFthbX":                            -9.026297,
+		"Pkoo":                                 -9.026297,
+		"Pl":                                   -9.026297,
+		"Please":                               -9.026297,
+		"PnCC":                                 -9.026297,
+		"PoxtCSfj":                             -9.026297,
+		"PpCzjMbxUJs":                          -9.026297,
+		"PpM/tBcvr":                            -9.026297,
+		"Pq":                                   -9.026297,
+		"PqAg":                                 -9.026297,
+		"PqG":                                  -9.026297,
+		"PqX":                                  -9.026297,
+		"Pqp":                                  -9.026297,
+		"PrZwfGzh":                             -9.026297,
+		"Previous":                             -9.026297,
+		"PsyIxLv":                              -9.026297,
+		"Pt":                                   -9.026297,
+		"PtczipXeaXLo":                         -9.026297,
+		"Pu":                                   -9.026297,
+		"PuH":                                  -9.026297,
+		"Pv":                                   -9.026297,
+		"PvkkFBeJGCMFgbh":                      -9.026297,
+		"Pvzw/":                                -9.026297,
+		"Pxo":                                  -9.026297,
+		"Pxpw":                                 -9.026297,
+		"PyD/":                                 -9.026297,
+		"PyRNIYZ":                              -9.026297,
+		"Pyiqy":                                -9.026297,
+		"Pyjf":                                 -9.026297,
+		"PzQ":                                  -9.026297,
+		"PzpoihGaxL":                           -9.026297,
+		"PzuKpDo":                              -9.026297,
+		"Q":                                    -6.946856,
+		"Q/":                                   -9.026297,
+		"QAI/PjyORym":                          -9.026297,
+		"QArvTPAzKDot":                         -9.026297,
+		"QCrd":                                 -9.026297,
+		"QDZyFowGtXrKyVSmkE":                   -9.026297,
+		"QDziM":                                -9.026297,
+		"QEGVovRp":                             -9.026297,
+		"QGAEmdDIjtoPLtBck":                    -9.026297,
+		"QHwYNVP":                              -9.026297,
+		"QIsfO":                                -9.026297,
+		"QKFNFGI/":                             -9.026297,
+		"QLIH":                                 -9.026297,
+		"QLTjBAUl":                             -9.026297,
+		"QLfu":                                 -9.026297,
+		"QLhrkwQX":                             -9.026297,
+		"QNinm":                                -9.026297,
+		"QQ":                                   -9.026297,
+		"QQQ":                                  -9.026297,
+		"QS":                                   -9.026297,
+		"QU":                                   -9.026297,
+		"QU/vug":                               -9.026297,
+		"QV":                                   -9.026297,
+		"QVLdW":                                -9.026297,
+		"QZdvAgwnE":                            -9.026297,
+		"QaMLRPw":                              -9.026297,
+		"Qbt/th":                               -9.026297,
+		"QcyJ":                                 -9.026297,
+		"Qe":                                   -8.333150,
+		"Qh":                                   -8.333150,
+		"QhG/NAjqJoIQntOKRgHO/eS":              -9.026297,
+		"Qiu":                                  -9.026297,
+		"QjbY":                                 -9.026297,
+		"Qkhnx":                                -9.026297,
+		"QltFpT":                               -9.026297,
+		"QntlaMa":                              -9.026297,
+		"QroPcdUZp":                            -9.026297,
+		"Qtqv":                                 -9.026297,
+		"QttBH":                                -9.026297,
+		"QuNLjkEQlSaJfAul":                     -9.026297,
+		"QwtP":                                 -9.026297,
+		"Qyl":                                  -9.026297,
+		"QzFCfm":                               -9.026297,
+		"Qzwhm":                                -9.026297,
+		"R":                                    -6.541391,
+		"R/HkiMq":                              -9.026297,
+		"R/ULKXB":                              -9.026297,
+		"RAauZ":                                -9.026297,
+		"RBENV_VERSION":                        -9.026297,
+		"RBy":                                  -9.026297,
+		"RByhF":                                -9.026297,
+		"RDAYIQl":                              -9.026297,
+		"RDixlMLk":                             -9.026297,
+		"RDkRbcHxGjPifJruygA":                  -9.026297,
+		"REACT_APP_DEFAULT_USR":                -9.026297,
+		"REACT_APP_ENDPOINT":                   -9.026297,
+		"REBYW":                                -9.026297,
+		"RIdbN":                                -9.026297,
+		"RKfePr":                               -9.026297,
+		"RLtk":                                 -9.026297,
+		"RNGjg":                                -9.026297,
+		"RNef":                                 -9.026297,
+		"ROII":                                 -9.026297,
+		"ROOTDIRS":                             -8.333150,
+		"ROj":                                  -9.026297,
+		"ROrriCjA":                             -9.026297,
+		"RPuo":                                 -9.026297,
+		"RQayK":                                -9.026297,
+		"RRRA/zUYzhs":                          -9.026297,
+		"RTC":                                  -9.026297,
+		"RU":                                   -9.026297,
+		"RUrrz":                                -9.026297,
+		"RV":                                   -9.026297,
+		"RVmBjn":                               -9.026297,
+		"RW":                                   -9.026297,
+		"RWw":                                  -9.026297,
+		"RYqC":                                 -9.026297,
+		"RZfN":                                 -9.026297,
+		"Rb":                                   -9.026297,
+		"RbkUT":                                -9.026297,
+		"RdseRynoR":                            -9.026297,
+		"RdwpSQ":                               -9.026297,
+		"Re":                                   -9.026297,
+		"RebdPzS":                              -9.026297,
+		"Rg":                                   -9.026297,
+		"RgsMcQvh":                             -9.026297,
+		"RgwUEAvY":                             -9.026297,
+		"RgxkfgnHgiwr":                         -9.026297,
+		"Rh":                                   -9.026297,
+		"RhT":                                  -9.026297,
+		"RiJzjeD/PdqBhQyG":                     -9.026297,
+		"RikZk":                                -9.026297,
+		"RnHfuX":                               -9.026297,
+		"RnR":                                  -9.026297,
+		"Rpnt":                                 -9.026297,
+		"Rr":                                   -9.026297,
+		"Rs":                                   -9.026297,
+		"Rt":                                   -9.026297,
+		"RtJCNHQdabeHXKzpbhqip":                -9.026297,
+		"RtZzT":                                -9.026297,
+		"Ru":                                   -9.026297,
+		"RuZ":                                  -9.026297,
+		"RvM":                                  -9.026297,
+		"RvMNhL":                               -9.026297,
+		"RvwaVL":                               -9.026297,
+		"Rws":                                  -9.026297,
+		"RyLpd":                                -9.026297,
+		"RzcdA":                                -9.026297,
+		"S":                                    -6.135926,
+		"S/":                                   -7.416859,
+		"S/de":                                 -9.026297,
+		"SAVED":                                -9.026297,
+		"SAVEHIST":                             -8.333150,
+		"SB":                                   -9.026297,
+		"SBT_OPTS":                             -9.026297,
+		"SBgG":                                 -9.026297,
+		"SBk":                                  -9.026297,
+		"SC":                                   -8.333150,
+		"SCQQmxlMAA":                           -9.026297,
+		"SCREENDIR":                            -8.333150,
+		"SDp":                                  -9.026297,
+		"SDppzBF":                              -9.026297,
+		"SDqMkaQlGAjpSdBNidScKudHwaPS":         -9.026297,
+		"SEP":                                  -9.026297,
+		"SESSION_DRIVER":                       -9.026297,
+		"SFypF":                                -9.026297,
+		"SHEBANG#!bash":                        -6.723712,
+		"SHEBANG#!rc":                          -8.333150,
+		"SHEBANG#!sh":                          -7.927685,
+		"SHEBANG#!zsh":                         -8.333150,
+		"SHeTwerZf":                            -9.026297,
+		"SM":                                   -9.026297,
+		"SMIZMwuH":                             -9.026297,
+		"SMNmAFz":                              -9.026297,
+		"SMflfiy":                              -9.026297,
+		"SN/":                                  -9.026297,
+		"SNAPSHOT":                             -7.927685,
+		"SNr":                                  -9.026297,
+		"SO":                                   -9.026297,
+		"SOJ":                                  -9.026297,
+		"SQFBHRCDUf":                           -9.026297,
+		"SSIVORcM/EZxyR":                       -9.026297,
+		"SUIH":                                 -9.026297,
+		"SUtn":                                 -9.026297,
+		"SVoqK/guvXQ":                          -9.026297,
+		"SWBXtLPs":                             -9.026297,
+		"SXyc":                                 -9.026297,
+		"SaUnGg":                               -9.026297,
+		"SahvlDv":                              -9.026297,
+		"SauWLEMco":                            -9.026297,
+		"Sb":                                   -9.026297,
+		"SbHZGDQ":                              -9.026297,
+		"Sc":                                   -9.026297,
+		"ScnIeB":                               -9.026297,
+		"SesrncfS":                             -9.026297,
+		"SfmkCFtMNlMx":                         -9.026297,
+		"Sidvm":                                -9.026297,
+		"SjIG":                                 -9.026297,
+		"SjZFlSmVR":                            -9.026297,
+		"SjkQGNvkq/Xu":                         -9.026297,
+		"Skdqmu":                               -9.026297,
+		"Sls":                                  -9.026297,
+		"SnogjoD/WBcU":                         -9.026297,
+		"So":                                   -9.026297,
+		"Some":                                 -9.026297,
+		"SomeRandomString":                     -9.026297,
+		"Sp/MzBaYsY":                           -9.026297,
+		"SshmqwhtRBTVzCmPRlCFvK":               -9.026297,
+		"SvM":                                  -9.026297,
+		"T":                                    -6.723712,
+		"T/ZbL":                                -9.026297,
+		"TAE":                                  -9.026297,
+		"TAStl":                                -9.026297,
+		"TBBw":                                 -9.026297,
+		"TBQ":                                  -9.026297,
+		"TCKuoAXkvpG":                          -9.026297,
+		"TCtXYXS":                              -9.026297,
+		"TDheA":                                -9.026297,
+		"TEB":                                  -9.026297,
+		"TERM":                                 -7.640003,
+		"TEh":                                  -9.026297,
+		"TFLNA":                                -9.026297,
+		"TFl":                                  -9.026297,
+		"TGhZhuQqir":                           -9.026297,
+		"THMaryA":                              -9.026297,
+		"TI":                                   -9.026297,
+		"TIcat":                                -9.026297,
+		"TJqjC/Gr":                             -9.026297,
+		"TK":                                   -9.026297,
+		"TLQL":                                 -9.026297,
+		"TLTOcA":                               -9.026297,
+		"TM":                                   -9.026297,
+		"TMDRC":                                -9.026297,
+		"TMaEDwlPKP":                           -9.026297,
+		"TNKl":                                 -9.026297,
+		"TNiXWcCw":                             -9.026297,
+		"TOovVKyb":                             -9.026297,
+		"TPDR":                                 -9.026297,
+		"TQGs/":                                -9.026297,
+		"TQJMICWeP":                            -9.026297,
+		"TQuI":                                 -9.026297,
+		"TREfiLuNzaO":                          -9.026297,
+		"TTaXdfxs":                             -9.026297,
+		"TThcDiCQYaa":                          -9.026297,
+		"TTvTr/UZaZ":                           -9.026297,
+		"TVRerS":                               -9.026297,
+		"TXnwa":                                -9.026297,
+		"TYMLk":                                -9.026297,
+		"TYTP":                                 -9.026297,
+		"TYfxv":                                -9.026297,
+		"Tc":                                   -9.026297,
+		"TcLLXg":                               -9.026297,
+		"Tcv":                                  -9.026297,
+		"Tdt":                                  -9.026297,
+		"TejNL":                                -9.026297,
+		"Testing":                              -9.026297,
+		"Tf":                                   -9.026297,
+		"TfV":                                  -9.026297,
+		"TgIN":                                 -9.026297,
+		"TgR/sEE":                              -9.026297,
+		"TgX":                                  -9.026297,
+		"ThisBuild":                            -8.333150,
+		"TkrYL":                                -9.026297,
+		"TlP":                                  -9.026297,
+		"Tmr":                                  -9.026297,
+		"TnRf":                                 -9.026297,
+		"TnYlW":                                -9.026297,
+		"To":                                   -9.026297,
+		"TpqOy":                                -9.026297,
+		"Tr":                                   -9.026297,
+		"TrEdw":                                -9.026297,
+		"TrpOE":                                -9.026297,
+		"TtYy":                                 -9.026297,
+		"Tutf":                                 -9.026297,
+		"TvLzfJxiEQdSvXmjiBK":                  -9.026297,
+		"TvSXE":                                -9.026297,
+		"TwT":                                  -9.026297,
+		"TwW":                                  -9.026297,
+		"Ty":                                   -9.026297,
+		"TzOncuWqiFtmGypy":                     -9.026297,
+		"Tzf":                                  -9.026297,
+		"U":                                    -7.416859,
+		"UCL":                                  -9.026297,
+		"UID":                                  -9.026297,
+		"UMavn":                                -9.026297,
+		"URL":                                  -9.026297,
+		"USPmuYpmiCQQfdfIr":                    -9.026297,
+		"UUiNCfgpHvbOhdt/cQl":                  -9.026297,
+		"UbkEtk/Y/uKoX":                        -9.026297,
+		"UiDGsAfKVIPkSadOgbFpOesB":             -9.026297,
+		"Update":                               -9.026297,
+		"Updated":                              -9.026297,
+		"Usage":                                -8.333150,
+		"Uwg":                                  -9.026297,
+		"Uwmp":                                 -9.026297,
+		"V":                                    -6.461348,
+		"VCA/uERcvzYPQEGcyBbPAq":               -9.026297,
+		"VChKnOjo":                             -9.026297,
+		"VEcSwy":                               -9.026297,
+		"VFJ":                                  -9.026297,
+		"VGGzPv":                               -9.026297,
+		"VGy":                                  -9.026297,
+		"VHt":                                  -9.026297,
+		"VIXtrKVuJNezk":                        -9.026297,
+		"VIlAX":                                -9.026297,
+		"VJAGYKW":                              -9.026297,
+		"VKEyV":                                -9.026297,
+		"VLTLAggv":                             -9.026297,
+		"VLYTajusPiqblu":                       -9.026297,
+		"VLq/yojKlo":                           -9.026297,
+		"VM":                                   -9.026297,
+		"VNMT/P":                               -9.026297,
+		"VOEg":                                 -9.026297,
+		"VPF/X":                                -9.026297,
+		"VQgUT":                                -9.026297,
+		"VSM":                                  -9.026297,
+		"VSZyFcxH":                             -9.026297,
+		"VTW":                                  -9.026297,
+		"VTZdpIn":                              -9.026297,
+		"VUsPxHD":                              -9.026297,
+		"VVtHLzV":                              -9.026297,
+		"VVxPaquuZYlLpdwdRmPoT":                -9.026297,
+		"VWcXp":                                -9.026297,
+		"VY":                                   -9.026297,
+		"VYIPWOxcsRCSyTQVUj":                   -9.026297,
+		"VZQOaURbCVXj":                         -9.026297,
+		"Vad/":                                 -9.026297,
+		"VdhxeKUCY/fhTAXGQcKLF":                -9.026297,
+		"VfoiKU/u":                             -9.026297,
+		"Vg":                                   -9.026297,
+		"VgHo/gnsqWhUAC/x":                     -9.026297,
+		"Vgq":                                  -9.026297,
+		"VgzqSxTUoUcc":                         -9.026297,
+		"Vj":                                   -9.026297,
+		"VjViJngFIfUtArBLFY":                   -9.026297,
+		"VmZ":                                  -9.026297,
+		"VnSPJlMCUj":                           -9.026297,
+		"VpbdVNyZugjZU":                        -9.026297,
+		"VpfKr":                                -9.026297,
+		"VprwgmRPvqHzr":                        -9.026297,
+		"Vq":                                   -8.333150,
+		"VqHLwxmZ":                             -9.026297,
+		"VqkuYpA":                              -9.026297,
+		"VsdLvMRLvPx":                          -9.026297,
+		"VtCDcekmG":                            -9.026297,
+		"VtzHs":                                -9.026297,
+		"VuKnaVsLyoqghgslNFvatjo/gWYeupj":      -9.026297,
+		"VvBiC":                                -9.026297,
+		"VvKQe":                                -9.026297,
+		"VzQfnMcq":                             -9.026297,
+		"W":                                    -6.135926,
+		"W/UY":                                 -9.026297,
+		"WAAFoAAA":                             -9.026297,
+		"WAtHSzwb":                             -9.026297,
+		"WBA":                                  -9.026297,
+		"WFoAAATm":                             -9.026297,
+		"WGo":                                  -9.026297,
+		"WI":                                   -9.026297,
+		"WLxAIz":                               -9.026297,
+		"WM":                                   -9.026297,
+		"WN":                                   -9.026297,
+		"WOfNZ/oLBipvJSEHo":                    -9.026297,
+		"WQdzSru":                              -9.026297,
+		"WRHCR":                                -9.026297,
+		"WS":                                   -9.026297,
+		"WSCQGcz":                              -9.026297,
+		"WSO":                                  -9.026297,
+		"WTVZaeBmmXqKyulZ":                     -9.026297,
+		"WUGdLEz":                              -9.026297,
+		"WWNpTYJkf":                            -9.026297,
+		"WZmOOq":                               -9.026297,
+		"WcDJUk":                               -9.026297,
+		"WcuDlp":                               -9.026297,
+		"WdYfmPxj":                             -9.026297,
+		"WfQN":                                 -9.026297,
+		"Whktj":                                -9.026297,
+		"Wio":                                  -9.026297,
+		"Wj":                                   -9.026297,
+		"WkKjRd":                               -9.026297,
+		"WkzXYTMr":                             -9.026297,
+		"WlAkpVVal":                            -9.026297,
+		"WlI":                                  -9.026297,
+		"Wm":                                   -8.333150,
+		"Wmd":                                  -9.026297,
+		"Wnssg":                                -9.026297,
+		"WocVqx":                               -9.026297,
+		"WojbcwoGFC":                           -9.026297,
+		"Wp":                                   -9.026297,
+		"WpGRgHCRRjo":                          -9.026297,
+		"WpXeOUG":                              -9.026297,
+		"WqgSWMgAY":                            -9.026297,
+		"WsjJWxMlbGymXlKYH":                    -9.026297,
+		"Wud":                                  -9.026297,
+		"WuslegiREhKuplHVWcZjitZ/F":            -9.026297,
+		"Ww":                                   -9.026297,
+		"WwcumHK":                              -9.026297,
+		"WwmYA":                                -9.026297,
+		"WyrU":                                 -9.026297,
+		"WzXk":                                 -9.026297,
+		"X":                                    -4.806790,
+		"X/NHCNA":                              -9.026297,
+		"X/XqZ":                                -9.026297,
+		"X/XvhQHPtvwZbbW":                      -9.026297,
+		"XAd":                                  -9.026297,
+		"XBEkBa":                               -9.026297,
+		"XBHFu":                                -9.026297,
+		"XBQNa":                                -9.026297,
+		"XBhP":                                 -9.026297,
+		"XDuu":                                 -9.026297,
+		"XEBCyqd":                              -9.026297,
+		"XFCoVG":                               -9.026297,
+		"XIUGYqs":                              -9.026297,
+		"XJ":                                   -9.026297,
+		"XKQOeRbu":                             -9.026297,
+		"XKpyzGejc":                            -9.026297,
+		"XNykwvjRuFmF":                         -9.026297,
+		"XOqJVcAisOU":                          -9.026297,
+		"XOxHJk":                               -9.026297,
+		"XPGeuwDXGRlnKAHfhgkK":                 -9.026297,
+		"XPwVMw":                               -9.026297,
+		"XQRk":                                 -9.026297,
+		"XST":                                  -9.026297,
+		"XTJ":                                  -9.026297,
+		"XTMLqI":                               -9.026297,
+		"XTUIfl":                               -9.026297,
+		"XVwlOFv":                              -9.026297,
+		"XWvCdKQ/OpFkmcLvwlIgzITfAWoOaAcMiXtYbRWcGN": -9.026297,
+		"XXuSoRWUL/":                       -9.026297,
+		"XYBph":                            -9.026297,
+		"XZD":                              -9.026297,
+		"XadN":                             -9.026297,
+		"XblImKcB":                         -9.026297,
+		"XcpEX":                            -9.026297,
+		"Xe":                               -8.333150,
+		"XeJ":                              -9.026297,
+		"XeYCGI/RxbZ":                      -9.026297,
+		"Xf":                               -9.026297,
+		"XgJuBax":                          -9.026297,
+		"XgXj":                             -9.026297,
+		"XhG":                              -9.026297,
+		"Xm":                               -9.026297,
+		"XmeNhRoHMbbwBJNmyRXC":             -9.026297,
+		"XpvM":                             -9.026297,
+		"Xq":                               -9.026297,
+		"XqbUpcRSR":                        -9.026297,
+		"XrZ":                              -9.026297,
+		"Xrs":                              -9.026297,
+		"Xs":                               -9.026297,
+		"XsGLJfXFX":                        -9.026297,
+		"XsvJSds":                          -9.026297,
+		"XuD":                              -9.026297,
+		"Xulz":                             -9.026297,
+		"XveOeeer":                         -9.026297,
+		"XxiB":                             -9.026297,
+		"XyC":                              -9.026297,
+		"Y":                                -6.387240,
+		"YA":                               -9.026297,
+		"YBOmBdhkq":                        -9.026297,
+		"YBxahqIoEJ":                       -9.026297,
+		"YCPQER":                           -9.026297,
+		"YEB":                              -9.026297,
+		"YF":                               -8.333150,
+		"YHE":                              -9.026297,
+		"YHPVnV":                           -9.026297,
+		"YIKGtsyYpRMl":                     -9.026297,
+		"YJJN":                             -9.026297,
+		"YJPSKYO":                          -9.026297,
+		"YJySVUuaUoC":                      -9.026297,
+		"YKcXtsMYf":                        -9.026297,
+		"YKyH":                             -9.026297,
+		"YL":                               -9.026297,
+		"YLi":                              -9.026297,
+		"YMO":                              -9.026297,
+		"YMuqdQzPouS":                      -9.026297,
+		"YNYa":                             -9.026297,
+		"YNrbXlF":                          -9.026297,
+		"YODTaCi":                          -9.026297,
+		"YSq/bHJAhdf":                      -9.026297,
+		"YTxCMO":                           -9.026297,
+		"YUqBvd":                           -9.026297,
+		"YV":                               -9.026297,
+		"YVL":                              -9.026297,
+		"YWsXJgKgZSnSI":                    -9.026297,
+		"YXIA":                             -9.026297,
+		"YXIp":                             -9.026297,
+		"YXQHPWuVdrASXk":                   -9.026297,
+		"YYDdRS":                           -9.026297,
+		"YZaRvVA":                          -9.026297,
+		"YZtaLDdY":                         -9.026297,
+		"YayS":                             -9.026297,
+		"Yb":                               -9.026297,
+		"YbP":                              -9.026297,
+		"YcBHEM":                           -9.026297,
+		"YcVhpByaWHowUxmg":                 -9.026297,
+		"YcXxz/S":                          -9.026297,
+		"Ycb":                              -9.026297,
+		"YcmS":                             -9.026297,
+		"YdYacrh":                          -9.026297,
+		"Ye":                               -9.026297,
+		"YhIrpgBbgk/BFlXRUrDs":             -9.026297,
+		"Yhmj":                             -9.026297,
+		"Yjusqbj/":                         -9.026297,
+		"YlVIZoEUCFg":                      -9.026297,
+		"Ym":                               -9.026297,
+		"YmqO/oW":                          -9.026297,
+		"Ymqem":                            -9.026297,
+		"YnR":                              -9.026297,
+		"YocYk/":                           -9.026297,
+		"YpovLbg":                          -9.026297,
+		"YsPMf":                            -9.026297,
+		"YuJBvUBsguFuV":                    -9.026297,
+		"Yy":                               -9.026297,
+		"YyLsnE/lmT":                       -9.026297,
+		"YyY":                              -9.026297,
+		"Z":                                -6.193084,
+		"ZAzTmb":                           -9.026297,
+		"ZB":                               -9.026297,
+		"ZC":                               -9.026297,
+		"ZCxHljbiqwR":                      -9.026297,
+		"ZF":                               -9.026297,
+		"ZFkZgS/wJSJJcD":                   -9.026297,
+		"ZGN":                              -9.026297,
+		"ZGniGE":                           -9.026297,
+		"ZIWnmGnmIYo":                      -9.026297,
+		"ZKBhUHIMFJxXnhZwLpLpM":            -9.026297,
+		"ZKCJxhyI":                         -9.026297,
+		"ZMUMk":                            -9.026297,
+		"ZOkW":                             -9.026297,
+		"ZQxID":                            -9.026297,
+		"ZRP":                              -9.026297,
+		"ZS/":                              -9.026297,
+		"ZTMFdx":                           -9.026297,
+		"ZTOI":                             -9.026297,
+		"ZWfmj":                            -9.026297,
+		"ZYjEgvXdsT":                       -9.026297,
+		"ZZSuh":                            -9.026297,
+		"ZZgAJLgl":                         -9.026297,
+		"Zb":                               -9.026297,
+		"ZbGidQ":                           -9.026297,
+		"ZcKioc":                           -9.026297,
+		"Ze":                               -9.026297,
+		"Zenb":                             -9.026297,
+		"Zf":                               -9.026297,
+		"ZgFDOEouGakDF":                    -9.026297,
+		"ZgHhwB":                           -9.026297,
+		"ZgPDQ":                            -9.026297,
+		"ZgtptmjdEB":                       -9.026297,
+		"ZgwULu":                           -9.026297,
+		"ZhQ":                              -9.026297,
+		"Zhqjp":                            -9.026297,
+		"ZkfV":                             -9.026297,
+		"Zko":                              -9.026297,
+		"ZlJGXMmiMw":                       -9.026297,
+		"Zm":                               -9.026297,
+		"ZnpnbSikda":                       -9.026297,
+		"Znw":                              -9.026297,
+		"ZoJ":                              -9.026297,
+		"Zp":                               -9.026297,
+		"ZpucyvnbTLiaEwPOEfnGEiLdy":        -9.026297,
+		"ZqEOdYuObmLeqBfgNIb":              -9.026297,
+		"ZqtfD":                            -9.026297,
+		"ZrTZquN":                          -9.026297,
+		"Zt":                               -9.026297,
+		"ZtFt":                             -9.026297,
+		"ZuwsSEcg/heAhSoEEvTQfOgqDrZv":     -9.026297,
+		"ZvWnqPIFg":                        -9.026297,
+		"Zw":                               -9.026297,
+		"Zwo":                              -9.026297,
+		"Zxspbgncu":                        -9.026297,
+		"ZyAAHePIC":                        -9.026297,
+		"Zyad":                             -9.026297,
+		"ZzcP":                             -9.026297,
+		"[":                                -4.644271,
+		"\\":                               -6.081858,
+		"]":                                -4.644271,
+		"^":                                -6.723712,
+		"_":                                -9.026297,
+		"_gitname":                         -9.026297,
+		"_gitroot":                         -9.026297,
+		"`":                                -5.625100,
+		"a":                                -5.890803,
+		"a/":                               -8.333150,
+		"a//Zrdqq":                         -9.026297,
+		"aBG/Dwc":                          -9.026297,
+		"aCe":                              -9.026297,
+		"aD":                               -9.026297,
+		"aDTORYfxpEyer":                    -9.026297,
+		"aEbmpH":                           -9.026297,
+		"aErrmJO":                          -9.026297,
+		"aF":                               -9.026297,
+		"aFvDEkgyVNrHt":                    -9.026297,
+		"aHDd":                             -9.026297,
+		"aI":                               -9.026297,
+		"aIE":                              -9.026297,
+		"aKiWDppD":                         -9.026297,
+		"aLBKdSGNVpHqPwdbR":                -9.026297,
+		"aLEbifrm":                         -9.026297,
+		"aLwEyd/XxmXuuoZKhAbHgglQmSz":      -9.026297,
+		"aN":                               -9.026297,
+		"aONiaqKzt":                        -9.026297,
+		"aOXvv/qh":                         -9.026297,
+		"aPnewso":                          -9.026297,
+		"aQaIJ":                            -9.026297,
+		"aR":                               -9.026297,
+		"aRDRHvjXsZwDOb":                   -9.026297,
+		"aT":                               -9.026297,
+		"aTnRu":                            -9.026297,
+		"aVObYdAXbLNtPS":                   -9.026297,
+		"aW":                               -9.026297,
+		"aXSLzgbtyNKVKd":                   -9.026297,
+		"aY":                               -9.026297,
+		"ab":                               -9.026297,
+		"abHvan":                           -9.026297,
+		"abrZZtNNs":                        -9.026297,
+		"acgsR":                            -9.026297,
+		"acme":                             -9.026297,
+		"acquire_sbt_jar":                  -9.026297,
+		"acroread":                         -8.333150,
+		"across":                           -8.333150,
+		"actual":                           -9.026297,
+		"adWx":                             -9.026297,
+		"add":                              -9.026297,
+		"addJava":                          -7.927685,
+		"addResidual":                      -9.026297,
+		"addSbt":                           -6.829073,
+		"addScalac":                        -9.026297,
+		"aduSn":                            -9.026297,
+		"ae":                               -9.026297,
+		"aerAn":                            -9.026297,
+		"af":                               -9.026297,
+		"afNkmt":                           -9.026297,
+		"afsgiF/uNyCUcYv":                  -9.026297,
+		"ahh":                              -9.026297,
+		"ahjC":                             -9.026297,
+		"ahlzDuPZtxD":                      -9.026297,
+		"ahnkVYgybH":                       -9.026297,
+		"ahv":                              -9.026297,
+		"aitqtyrXrY":                       -9.026297,
+		"al":                               -9.026297,
+		"alert":                            -9.026297,
+		"alias":                            -4.931953,
+		"alternate":                        -9.026297,
+		"amVzNRJwER":                       -9.026297,
+		"an":                               -8.333150,
+		"any":                              -9.026297,
+		"anyway":                           -9.026297,
+		"aoOiehOacC":                       -9.026297,
+		"aoyzbAwoLPUZKCynTAZyydbppJL":      -9.026297,
+		"ap":                               -9.026297,
+		"app.py":                           -9.026297,
+		"appear":                           -9.026297,
+		"append":                           -8.333150,
+		"appendhistory":                    -8.333150,
+		"aqSfDaohF":                        -9.026297,
+		"aqxlNTVhGeepfYGJ":                 -9.026297,
+		"arch":                             -9.026297,
+		"areOWJzCaP":                       -9.026297,
+		"arg":                              -7.234538,
+		"args":                             -5.094472,
+		"argument":                         -9.026297,
+		"argumentCount":                    -9.026297,
+		"argumentCount=":                   -9.026297,
+		"artifactory":                      -9.026297,
+		"as":                               -9.026297,
+		"ass":                              -9.026297,
+		"at":                               -8.333150,
+		"aviplay":                          -8.333150,
+		"awk":                              -8.333150,
+		"awsWGmhNAzTmYV":                   -9.026297,
+		"awzy":                             -9.026297,
+		"axKYbGRP":                         -9.026297,
+		"ayNcxm":                           -9.026297,
+		"az":                               -9.026297,
+		"b":                                -6.135926,
+		"bBnmvnRcXnAY":                     -9.026297,
+		"bCUccssqyusXAwTySQSyekZyWNZmhgna": -9.026297,
+		"bCi":                              -9.026297,
+		"bE":                               -9.026297,
+		"bEnCak":                           -9.026297,
+		"bF":                               -9.026297,
+		"bFB":                              -9.026297,
+		"bFnrkhL":                          -9.026297,
+		"bGF":                              -9.026297,
+		"bIAuDJfFDGih":                     -9.026297,
+		"bIuS":                             -9.026297,
+		"bK":                               -9.026297,
+		"bKeILa":                           -9.026297,
+		"bMe":                              -9.026297,
+		"bO":                               -9.026297,
+		"bOD":                              -9.026297,
+		"bONmEKZm/ZGpHSTuJM":               -9.026297,
+		"bPb":                              -9.026297,
+		"bQD":                              -9.026297,
+		"bQpipn":                           -9.026297,
+		"bTFdshisX":                        -9.026297,
+		"bVkZFZxP":                         -9.026297,
+		"bWKmVvUd":                         -9.026297,
+		"bXVFqL":                           -9.026297,
+		"bXXtZprO":                         -9.026297,
+		"bZ":                               -9.026297,
+		"bZS":                              -9.026297,
+		"bare":                             -9.026297,
+		"base":                             -9.026297,
+		"basename":                         -8.333150,
+		"bash":                             -7.640003,
+		"bbIOoTBLcObjnqF":                  -9.026297,
+		"bcKbVL":                           -9.026297,
+		"bdBBYBJgdTp":                      -9.026297,
+		"be":                               -7.927685,
+		"beCyRSf":                          -9.026297,
+		"bejLQXtltlP":                      -9.026297,
+		"bematRH":                          -9.026297,
+		"bg":                               -8.333150,
+		"bgI":                              -9.026297,
+		"bgIREfqWlxJO":                     -9.026297,
+		"bgQVCeBNlUHqLPfFyYr":              -9.026297,
+		"bgv":                              -9.026297,
+		"bh":                               -9.026297,
+		"bigdy":                            -9.026297,
+		"bih":                              -9.026297,
+		"bin":                              -8.333150,
+		"bind":                             -8.333150,
+		"binding":                          -8.333150,
+		"bjZhBY":                           -9.026297,
+		"blYZoOzn":                         -9.026297,
+		"blzHLuNMOMyfGwBfBxPKxaOjy":        -9.026297,
+		"bntpPSw":                          -9.026297,
+		"boFY":                             -9.026297,
+		"bottles":                          -7.234538,
+		"bpJDOzoF/C":                       -9.026297,
+		"bqRwxqK":                          -9.026297,
+		"brkNlA":                           -9.026297,
+		"bs":                               -9.026297,
+		"bsPCVB":                           -9.026297,
+		"btdR":                             -9.026297,
+		"btgDRqDq":                         -9.026297,
+		"buiLa":                            -9.026297,
+		"build":                            -7.416859,
+		"build.scala.versions":             -9.026297,
+		"build_props_sbt":                  -7.927685,
+		"build_props_scala":                -9.026297,
+		"bunzip":                           -8.333150,
+		"bvN":                              -9.026297,
+		"bw":                               -9.026297,
+		"by":                               -8.333150,
+		"byXG":                             -9.026297,
+		"byiefmwKixcfEy":                   -9.026297,
+		"bzbjycsKfKHTRQwaF":                -9.026297,
+		"bzcat":                            -8.333150,
+		"bzcmp":                            -8.333150,
+		"bzdiff":                           -8.333150,
+		"bzegrep":                          -8.333150,
+		"bzfgrep":                          -8.333150,
+		"bzgrep":                           -8.333150,
+		"bzme":                             -8.333150,
+		"c":                                -6.387240,
+		"cA":                               -9.026297,
+		"cAvnm":                            -9.026297,
+		"cB":                               -9.026297,
+		"cBk":                              -9.026297,
+		"cC":                               -9.026297,
+		"cCWWMcwiUy":                       -9.026297,
+		"cD":                               -9.026297,
+		"cF":                               -9.026297,
+		"cFRVln":                           -9.026297,
+		"cFw":                              -9.026297,
+		"cG":                               -9.026297,
+		"cGNN":                             -9.026297,
+		"cH":                               -9.026297,
+		"cHOcx":                            -9.026297,
+		"cHxs":                             -9.026297,
+		"cIt":                              -9.026297,
+		"cK/":                              -9.026297,
+		"cMtg":                             -9.026297,
+		"cNIRgK":                           -9.026297,
+		"cNeEruRVcuz":                      -9.026297,
+		"cNtjrGlYf":                        -9.026297,
+		"cOjg":                             -9.026297,
+		"cPQqf":                            -9.026297,
+		"cPY":                              -9.026297,
+		"cQz":                              -9.026297,
+		"cUArwv":                           -9.026297,
+		"cUJvTIdpx":                        -9.026297,
+		"cUuGkeNWmrbU":                     -9.026297,
+		"cW":                               -9.026297,
+		"cWLiFnVYYkeoX":                    -9.026297,
+		"cWjo":                             -9.026297,
+		"cX":                               -9.026297,
+		"cXtycdLd":                         -9.026297,
+		"cZd":                              -9.026297,
+		"cZlv":                             -9.026297,
+		"cZr":                              -9.026297,
+		"can":                              -9.026297,
+		"case":                             -5.337418,
+		"cat":                              -7.927685,
+		"category":                         -9.026297,
+		"cb":                               -9.026297,
+		"cbeSL":                            -9.026297,
+		"ccxwW":                            -9.026297,
+		"cd":                               -6.723712,
+		"cd..":                             -8.333150,
+		"ce":                               -9.026297,
+		"ceV":                              -9.026297,
+		"cf/B":                             -9.026297,
+		"cgOZFEMxUCrUcCrefoDSTQZiDQ":       -9.026297,
+		"cgUtwP":                           -9.026297,
+		"cgi":                              -9.026297,
+		"chattier":                         -9.026297,
+		"choice":                           -9.026297,
+		"ci":                               -9.026297,
+		"cjAyWUQsGCWODPAm":                 -9.026297,
+		"cjBrTwtgFrwJ":                     -9.026297,
+		"ckbzIJ":                           -9.026297,
+		"clN":                              -9.026297,
+		"clYltBOtpPnmBbbcIF":               -9.026297,
+		"cljAmU":                           -9.026297,
+		"clone":                            -8.333150,
+		"cmake":                            -9.026297,
+		"cmd":                              -6.946856,
+		"cnrZNpdTF":                        -9.026297,
+		"cnre":                             -9.026297,
+		"coRCTIFoiEhtJW":                   -9.026297,
+		"codecache":                        -9.026297,
+		"codes":                            -9.026297,
+		"col":                              -9.026297,
+		"color":                            -9.026297,
+		"colors":                           -9.026297,
+		"combined":                         -9.026297,
+		"comm":                             -9.026297,
+		"command":                          -8.333150,
+		"complete":                         -4.695564,
+		"compress":                         -8.333150,
+		"config":                           -8.333150,
+		"conflicts":                        -9.026297,
+		"contains":                         -9.026297,
+		"contents":                         -9.026297,
+		"continue":                         -9.026297,
+		"coxWa":                            -9.026297,
+		"cq":                               -9.026297,
+		"cqOVrgsTpS":                       -9.026297,
+		"cqr":                              -9.026297,
+		"create":                           -7.927685,
+		"crinyH":                           -9.026297,
+		"crontab":                          -9.026297,
+		"ctSvevDf/":                        -9.026297,
+		"curl":                             -7.640003,
+		"current":                          -9.026297,
+		"cvjekfYc":                         -9.026297,
+		"cw":                               -9.026297,
+		"cwzT":                             -9.026297,
+		"cx":                               -9.026297,
+		"cxzZ/w":                           -9.026297,
+		"cy":                               -9.026297,
+		"cygwin":                           -7.927685,
+		"cza":                              -9.026297,
+		"d":                                -5.935255,
+		"d/Jkr":                            -9.026297,
+		"d/Q":                              -9.026297,
+		"dAFZdr":                           -9.026297,
+		"dBnSJ/K":                          -9.026297,
+		"dC":                               -9.026297,
+		"dClDCnHyr":                        -9.026297,
+		"dDNLdtswCUKK":                     -9.026297,
+		"dE":                               -9.026297,
+		"dF":                               -9.026297,
+		"dFGW":                             -9.026297,
+		"dFmRhw":                           -9.026297,
+		"dGjxsibduwmxrJ":                   -9.026297,
+		"dGtmzELZiGVYYUS":                  -9.026297,
+		"dJrzfOUkukDI//SSduKpINv":          -9.026297,
+		"dJvJ":                             -9.026297,
+		"dMCt":                             -9.026297,
+		"dN":                               -8.333150,
+		"dO":                               -9.026297,
+		"dOI":                              -9.026297,
+		"dP/xhWvSTfzYlQpI":                 -9.026297,
+		"dPMcr":                            -9.026297,
+		"dQ":                               -9.026297,
+		"dQq":                              -9.026297,
+		"dTsX":                             -9.026297,
+		"dU":                               -9.026297,
+		"dUL":                              -9.026297,
+		"dUNFH":                            -9.026297,
+		"dV":                               -9.026297,
+		"dXqGrhru":                         -9.026297,
+		"dYic/TR":                          -9.026297,
+		"darwin":                           -7.927685,
+		"day":                              -9.026297,
+		"dd":                               -9.026297,
+		"ddYoR":                            -9.026297,
+		"deZg":                             -9.026297,
+		"debug":                            -7.080387,
+		"declare":                          -5.935255,
+		"default":                          -9.026297,
+		"default_jvm_opts":                 -9.026297,
+		"default_sbt_mem":                  -8.333150,
+		"default_sbt_opts":                 -9.026297,
+		"depends":                          -9.026297,
+		"descriptor":                       -8.333150,
+		"development":                      -9.026297,
+		"die":                              -8.333150,
+		"diff":                             -8.333150,
+		"dillo":                            -8.333150,
+		"dir":                              -7.234538,
+		"directly":                         -8.333150,
+		"directory":                        -7.927685,
+		"dirname":                          -8.333150,
+		"dirpersiststore":                  -8.333150,
+		"disable":                          -9.026297,
+		"disk":                             -7.416859,
+		"disk/kfs":                         -9.026297,
+		"disown":                           -8.333150,
+		"display":                          -8.333150,
+		"dk":                               -9.026297,
+		"dkd":                              -9.026297,
+		"dlog":                             -7.927685,
+		"dmAgzT":                           -9.026297,
+		"dnsL":                             -9.026297,
+		"do":                               -6.946856,
+		"doctype":                          -9.026297,
+		"does.":                            -9.026297,
+		"done":                             -6.946856,
+		"drg":                              -9.026297,
+		"dt":                               -9.026297,
+		"dtgAy":                            -9.026297,
+		"duWBbHAsVpnhC/AtTvipjEHx":         -9.026297,
+		"dump":                             -7.927685,
+		"duplicates":                       -8.333150,
+		"dv":                               -8.333150,
+		"dvi":                              -8.333150,
+		"dvips":                            -8.333150,
+		"dviselect":                        -8.333150,
+		"dvitype":                          -8.333150,
+		"dw":                               -9.026297,
+		"dwzMgjllPUgLmucXgXS":              -9.026297,
+		"dx":                               -9.026297,
+		"dy":                               -9.026297,
+		"dzt":                              -9.026297,
+		"e":                                -5.730460,
+		"eAttFwTztgJ":                      -9.026297,
+		"eDBHM":                            -9.026297,
+		"eDErImYskeg":                      -9.026297,
+		"eEfaOQ":                           -9.026297,
+		"eGDp":                             -9.026297,
+		"eI":                               -9.026297,
+		"eNULX":                            -9.026297,
+		"eOdaxXfLRiT":                      -9.026297,
+		"eOfMaRbZcxhzxh":                   -9.026297,
+		"eOpKUjGUwIJA":                     -9.026297,
+		"ePdOPJf":                          -9.026297,
+		"eQd":                              -9.026297,
+		"eQyFXnfE":                         -9.026297,
+		"eR":                               -9.026297,
+		"eS":                               -8.333150,
+		"eUIx":                             -9.026297,
+		"eUij/J":                           -9.026297,
+		"eVheswxGH":                        -9.026297,
+		"eWwHJsQVqaTatzyUc":                -9.026297,
+		"eWyWMlbEyVsbKWBkrY":               -9.026297,
+		"eXKtcfcWFlVKbmGYDrjGnXfGum":       -9.026297,
+		"eY":                               -9.026297,
+		"eYlg":                             -9.026297,
+		"eZEWhnqvQa":                       -9.026297,
+		"eaXQTktoCetceUmj":                 -9.026297,
+		"ebz":                              -9.026297,
+		"eccNP":                            -9.026297,
+		"echo":                             -4.391568,
+		"echoerr":                          -7.927685,
+		"ecwT":                             -9.026297,
+		"edit":                             -9.026297,
+		"ee":                               -8.333150,
+		"eedtN":                            -9.026297,
+		"ef":                               -8.333150,
+		"efTXe/mUFbFisxet/fAQYdHQmH":       -9.026297,
+		"efmyZQ":                           -9.026297,
+		"eftXQmQXynnOGtNPHBKW":             -9.026297,
+		"egrep":                            -8.333150,
+		"eiNH":                             -9.026297,
+		"eiiEaKPjiEL":                      -9.026297,
+		"ej":                               -9.026297,
+		"ekB/V":                            -9.026297,
+		"elif":                             -7.927685,
+		"elinks":                           -8.333150,
+		"elixdn":                           -9.026297,
+		"else":                             -6.628402,
+		"emacs":                            -8.333150,
+		"endif":                            -8.333150,
+		"entries":                          -8.333150,
+		"environment":                      -7.927685,
+		"eoni":                             -9.026297,
+		"eq":                               -9.026297,
+		"eqn":                              -9.026297,
+		"eqywrGmCsV":                       -9.026297,
+		"erase":                            -8.333150,
+		"esac":                             -7.080387,
+		"etMSeNHa":                         -9.026297,
+		"etyTSYsE":                         -9.026297,
+		"eval":                             -7.416859,
+		"even":                             -9.026297,
+		"evey":                             -9.026297,
+		"ew":                               -9.026297,
+		"exKdB":                            -9.026297,
+		"exec":                             -7.927685,
+		"execRunner":                       -8.333150,
+		"exists":                           -9.026297,
+		"exit":                             -6.193084,
+		"explicit":                         -9.026297,
+		"export":                           -5.768201,
+		"expr":                             -8.333150,
+		"eyLJUcaLRz":                       -9.026297,
+		"eylG":                             -9.026297,
+		"ez":                               -9.026297,
+		"f":                                -4.722232,
+		"fYze":                             -9.026297,
+		"fZJQJcuRc":                        -9.026297,
+		"false":                            -7.080387,
+		"fg":                               -8.333150,
+		"fgrep":                            -8.333150,
+		"fi":                               -5.560561,
+		"file":                             -6.318247,
+		"fils":                             -7.234538,
+		"find":                             -8.333150,
+		"finds":                            -9.026297,
+		"fj/WyJwIEbFr":                     -9.026297,
+		"fjj":                              -9.026297,
+		"flLNFLvWvxV":                      -9.026297,
+		"fn":                               -8.333150,
+		"foodforthought.jpg":               -9.026297,
+		"for":                              -6.829073,
+		"fosVcH":                           -9.026297,
+		"fpath":                            -7.234538,
+		"freeamp":                          -8.333150,
+		"fxMFEBEP":                         -9.026297,
+		"fxMTgT":                           -9.026297,
+		"g":                                -6.318247,
+		"g/vTLUFMCXFGCf":                   -9.026297,
+		"gA":                               -9.026297,
+		"gAXlgVJSvV":                       -9.026297,
+		"gBKimHCLNYIVbRSEKnN":              -9.026297,
+		"gBcxq":                            -9.026297,
+		"gDYsG":                            -9.026297,
+		"gE":                               -9.026297,
+		"gECnVqaamiOldHqWxA":               -9.026297,
+		"gETzIkSSLoDLwEldveND":             -9.026297,
+		"gEvzDLftJlSSp":                    -9.026297,
+		"gFR":                              -9.026297,
+		"gFSWFTbnKi":                       -9.026297,
+		"gFsX":                             -9.026297,
+		"gJ":                               -9.026297,
+		"gJoa":                             -9.026297,
+		"gK":                               -9.026297,
+		"gKQUujW":                          -9.026297,
+		"gKvsYreYlj":                       -9.026297,
+		"gMbn":                             -9.026297,
+		"gNle":                             -9.026297,
+		"gOFrpRAzEfOV":                     -9.026297,
+		"gPFXIiu":                          -9.026297,
+		"gPt":                              -9.026297,
+		"gQMlUIbUW":                        -9.026297,
+		"gR":                               -9.026297,
+		"gT":                               -9.026297,
+		"gTqMOgdRj":                        -9.026297,
+		"gU":                               -9.026297,
+		"gULGpBpGVrNLxMg":                  -9.026297,
+		"gUugFwR":                          -9.026297,
+		"gVWWWo":                           -9.026297,
+		"gWD":                              -9.026297,
+		"gWdsZtZDVO":                       -9.026297,
+		"gWeoGVLEG":                        -9.026297,
+		"gXOVdhl":                          -9.026297,
+		"gXdTH/zy":                         -9.026297,
+		"gYeDST":                           -9.026297,
+		"gZT":                              -9.026297,
+		"gZbXQsToRI":                       -9.026297,
+		"gZd/NlzQvktLk":                    -9.026297,
+		"ga":                               -9.026297,
+		"gadAlPOxEqCgTBVE":                 -9.026297,
+		"gaduYI":                           -9.026297,
+		"galeon":                           -8.333150,
+		"gauYFf":                           -9.026297,
+		"gcbtVjCEFV":                       -9.026297,
+		"gcdejx":                           -9.026297,
+		"gctAqV":                           -9.026297,
+		"gd":                               -9.026297,
+		"ge":                               -9.026297,
+		"get_jvm_opts":                     -9.026297,
+		"get_mem_opts":                     -8.333150,
+		"get_script_path":                  -8.333150,
+		"gfu":                              -9.026297,
+		"ggeckpEO":                         -9.026297,
+		"ggv":                              -8.333150,
+		"ghoY":                             -9.026297,
+		"ghvVaJcCDSfZXoj":                  -9.026297,
+		"gi":                               -9.026297,
+		"giVI":                             -9.026297,
+		"git":                              -7.080387,
+		"given":                            -7.927685,
+		"gives":                            -9.026297,
+		"gj":                               -9.026297,
+		"glTCmdbjnus":                      -9.026297,
+		"gmNK":                             -9.026297,
+		"gnE/":                             -9.026297,
+		"goJfNQAmrIMTDJMYXUwFiO":           -9.026297,
+		"gpY":                              -9.026297,
+		"gpaU":                             -9.026297,
+		"gpfEDNLKwyqpM":                    -9.026297,
+		"gpxM":                             -9.026297,
+		"gqCnxvTD":                         -9.026297,
+		"gqmpeg":                           -8.333150,
+		"gqzHw":                            -9.026297,
+		"grap":                             -9.026297,
+		"grep":                             -6.387240,
+		"groupid":                          -9.026297,
+		"gryBUK":                           -9.026297,
+		"gtHwcAeeMuCURFNnZDN":              -9.026297,
+		"gunzip":                           -8.333150,
+		"gv":                               -8.333150,
+		"gview":                            -8.333150,
+		"gvim":                             -8.333150,
+		"gw":                               -9.026297,
+		"gxFOBAIdrY":                       -9.026297,
+		"gy":                               -9.026297,
+		"gz":                               -9.026297,
+		"h":                                -6.628402,
+		"h/MPg":                            -9.026297,
+		"h/hRUZivc":                        -9.026297,
+		"hDhnHD":                           -9.026297,
+		"hDohJ/":                           -9.026297,
+		"hFmjs":                            -9.026297,
+		"hGIzNULiAtkYgGICLdfACZVniAFgiMvWSCgqbpJjtM": -9.026297,
+		"hI":                          -8.333150,
+		"hJTLydJnGIio":                -9.026297,
+		"hJXg/ylr":                    -9.026297,
+		"hLQ":                         -9.026297,
+		"hNM":                         -9.026297,
+		"hNSoFvhpevN":                 -9.026297,
+		"hOPl":                        -9.026297,
+		"hOYk/":                       -9.026297,
+		"hOp":                         -9.026297,
+		"hTZng":                       -9.026297,
+		"hUa":                         -9.026297,
+		"hV":                          -9.026297,
+		"hVw":                         -9.026297,
+		"hW":                          -9.026297,
+		"hWVmcZHJSzImFkzJOSjiZx":      -9.026297,
+		"hZ":                          -9.026297,
+		"haXe":                        -9.026297,
+		"heZ":                         -9.026297,
+		"heaAKlBmT":                   -9.026297,
+		"head":                        -8.333150,
+		"hebaq":                       -9.026297,
+		"help":                        -7.640003,
+		"helptopic":                   -8.333150,
+		"here":                        -9.026297,
+		"hftpk":                       -9.026297,
+		"hfyii":                       -9.026297,
+		"hgi":                         -9.026297,
+		"hhnhHL":                      -9.026297,
+		"hhtqLwiKoMPDHk":              -9.026297,
+		"histappend":                  -8.333150,
+		"history":                     -6.253709,
+		"hj":                          -9.026297,
+		"hlSMcfq/":                    -9.026297,
+		"hldUSXB":                     -9.026297,
+		"hn":                          -9.026297,
+		"hoAiVZn":                     -9.026297,
+		"hold":                        -9.026297,
+		"holding":                     -8.333150,
+		"home":                        -7.416859,
+		"homestead":                   -8.333150,
+		"hour":                        -9.026297,
+		"hp":                          -9.026297,
+		"hpCIARXsiJ/HVZi":             -9.026297,
+		"hqYYM":                       -9.026297,
+		"hqcUbuI":                     -9.026297,
+		"href=":                       -9.026297,
+		"hs/JCmCBpkEVQUUFjKEKUM":      -9.026297,
+		"html":                        -8.333150,
+		"httMQi":                      -9.026297,
+		"http":                        -9.026297,
+		"https":                       -9.026297,
+		"hvT":                         -9.026297,
+		"hvq":                         -9.026297,
+		"hxfcW":                       -9.026297,
+		"hyE":                         -9.026297,
+		"hzCt":                        -9.026297,
+		"i":                           -5.659002,
+		"i/":                          -9.026297,
+		"i/INDEX":                     -8.333150,
+		"i/PNm":                       -9.026297,
+		"iAKUEqpeaN":                  -9.026297,
+		"iBoAlzF":                     -9.026297,
+		"iCpMFJbSc":                   -9.026297,
+		"iDv":                         -9.026297,
+		"iFDvfjsnGDD":                 -9.026297,
+		"iGQbWNSvsR":                  -9.026297,
+		"iHXeTILUNZpxmBARCosaxgdwKU":  -9.026297,
+		"iJ":                          -9.026297,
+		"iKOJgi":                      -9.026297,
+		"iMkqbiprjoxvKMMqgnt":         -9.026297,
+		"iMufaLO":                     -9.026297,
+		"iNF":                         -9.026297,
+		"iOVywDm":                     -9.026297,
+		"iPCRuitcaCT":                 -9.026297,
+		"iR":                          -9.026297,
+		"iRLk":                        -9.026297,
+		"iRyOTii":                     -9.026297,
+		"iTRgFuI":                     -9.026297,
+		"iTXOxatQo":                   -9.026297,
+		"iTXvjnxMtTgi":                -9.026297,
+		"iThtSKOyusrzbM":              -9.026297,
+		"iU":                          -9.026297,
+		"iUyRKrlOXCBJAfVo":            -9.026297,
+		"iV":                          -9.026297,
+		"iXkjkh":                      -9.026297,
+		"iXsHFXbq":                    -9.026297,
+		"iYVfCMtD":                    -9.026297,
+		"iYXoF":                       -9.026297,
+		"iYtvqqEyhr":                  -9.026297,
+		"iaTA":                        -9.026297,
+		"iajMmr":                      -9.026297,
+		"ibElDQwtAvkQpL/IuT":          -9.026297,
+		"icg":                         -9.026297,
+		"id":                          -9.026297,
+		"if":                          -4.682492,
+		"igUU":                        -9.026297,
+		"ignoreboth":                  -8.333150,
+		"iiaplmNQBZq":                 -9.026297,
+		"iiuuN":                       -9.026297,
+		"ijZdRuT":                     -9.026297,
+		"il":                          -9.026297,
+		"ilTy":                        -9.026297,
+		"im":                          -9.026297,
+		"in":                          -5.890803,
+		"incappendhistory":            -8.333150,
+		"init.stud":                   -9.026297,
+		"install":                     -8.333150,
+		"installation.":               -9.026297,
+		"intro":                       -7.640003,
+		"ipjnSXlY":                    -9.026297,
+		"ipvLYU":                      -9.026297,
+		"ipx":                         -9.026297,
+		"iqrB":                        -9.026297,
+		"irRPRO":                      -9.026297,
+		"is":                          -6.829073,
+		"istrip":                      -8.333150,
+		"it":                          -7.927685,
+		"its":                         -9.026297,
+		"ivDF":                        -9.026297,
+		"ivLApfU":                     -9.026297,
+		"ivwNwxQAntAIf":               -9.026297,
+		"iwIhOuEeBEalwpwIjauEjCowIhj": -9.026297,
+		"ix":                          -9.026297,
+		"iy":                          -9.026297,
+		"j":                           -6.193084,
+		"j/l":                         -9.026297,
+		"jAWtIvB/n":                   -9.026297,
+		"jCEHOyfAZBp":                 -9.026297,
+		"jCFHZOZec":                   -9.026297,
+		"jCfunzxZYpg":                 -9.026297,
+		"jDpw":                        -9.026297,
+		"jFNfudTXqyubV":               -9.026297,
+		"jFtP/DfdvImYazIHl":           -9.026297,
+		"jGrCg":                       -9.026297,
+		"jHVpeJRoj":                   -9.026297,
+		"jHVye":                       -9.026297,
+		"jK":                          -9.026297,
+		"jL":                          -9.026297,
+		"jLSlXPBdXdOiOxhK":            -9.026297,
+		"jLrj":                        -9.026297,
+		"jM":                          -9.026297,
+		"jNQlqerz":                    -9.026297,
+		"jNZeN":                       -9.026297,
+		"jOUz":                        -9.026297,
+		"jPfEqK":                      -9.026297,
+		"jSBGknIvgF":                  -9.026297,
+		"jSmU":                        -9.026297,
+		"jUIwdXelXGiw":                -9.026297,
+		"jUkINZUBuCbdwtcjd":           -9.026297,
+		"jYQtFndXBToD":                -9.026297,
+		"jYwvGxheNjC":                 -9.026297,
+		"jZdktuOZkYwC":                -9.026297,
+		"jaS":                         -9.026297,
+		"jaTyE":                       -9.026297,
+		"jadetex":                     -8.333150,
+		"jar":                         -7.640003,
+		"java":                        -7.927685,
+		"java_args":                   -8.333150,
+		"java_cmd":                    -9.026297,
+		"java_cmd=":                   -9.026297,
+		"java_home":                   -9.026297,
+		"jbKd":                        -9.026297,
+		"jbwEDIh":                     -9.026297,
+		"jeG":                         -9.026297,
+		"jf":                          -9.026297,
+		"jfEYqFUynyq":                 -9.026297,
+		"jfpuX":                       -9.026297,
+		"jgu":                         -9.026297,
+		"jhKu":                        -9.026297,
+		"jj":                          -9.026297,
+		"jklxzRwzCHYuiZKJMZy":         -9.026297,
+		"jl":                          -9.026297,
+		"jmELEWV":                     -9.026297,
+		"jnGHA":                       -9.026297,
+		"jobs":                        -8.333150,
+		"jrXcLTdQBnfO/F":              -9.026297,
+		"jtECF":                       -9.026297,
+		"jthlOdm":                     -9.026297,
+		"juke":                        -9.026297,
+		"jukefs":                      -8.333150,
+		"just":                        -8.333150,
+		"jv":                          -9.026297,
+		"jvm":                         -7.416859,
+		"jvm_opts_file":               -9.026297,
+		"jwiUVx":                      -9.026297,
+		"jyqLTGq":                     -9.026297,
+		"k":                           -6.461348,
+		"k/":                          -9.026297,
+		"kBtw":                        -9.026297,
+		"kC":                          -9.026297,
+		"kD":                          -9.026297,
+		"kDjoKIC":                     -9.026297,
+		"kFC":                         -9.026297,
+		"kFI":                         -9.026297,
+		"kFZVIhFQKiP":                 -9.026297,
+		"kGXhZy":                      -9.026297,
+		"kGhuKW/BaImXEf":              -9.026297,
+		"kHiMWuEx":                    -9.026297,
+		"kI":                          -8.333150,
+		"kJ":                          -9.026297,
+		"kJbJ":                        -9.026297,
+		"kLhRBSVAuKdjTS":              -9.026297,
+		"kLzuBLrzkQmSsIfHOdxtNsIvz":   -9.026297,
+		"kMDJGJaHetO":                 -9.026297,
+		"kMDjCs":                      -9.026297,
+		"kMKyQc":                      -9.026297,
+		"kN":                          -9.026297,
+		"kNNi/":                       -9.026297,
+		"kNXYg":                       -9.026297,
+		"kOEWLh":                      -9.026297,
+		"kPvYk":                       -9.026297,
+		"kRA":                         -9.026297,
+		"kRN":                         -9.026297,
+		"kRmZJ":                       -9.026297,
+		"kRqYfuvo":                    -9.026297,
+		"kTQlaO":                      -9.026297,
+		"kUuHSTpWSSunzuY":             -9.026297,
+		"kVJws":                       -9.026297,
+		"kVch":                        -9.026297,
+		"kXnvQcgWjtkE":                -9.026297,
+		"kYY":                         -9.026297,
+		"kc":                          -9.026297,
+		"kczhcfAensNUuiLAl":           -9.026297,
+		"kdVr":                        -9.026297,
+		"ke":                          -9.026297,
+		"ke/dwPeXsQ":                  -9.026297,
+		"keBPODX/htHuWASARtQvcJlFa":   -9.026297,
+		"keep":                        -9.026297,
+		"kfVebZDkAbFXpew":             -9.026297,
+		"kfkXlSebtQzOJz":              -9.026297,
+		"kfs":                         -9.026297,
+		"kgu":                         -9.026297,
+		"kh":                          -9.026297,
+		"killed":                      -8.333150,
+		"kj":                          -9.026297,
+		"kjVOdoyUrjOkaP":              -9.026297,
+		"kkX":                         -9.026297,
+		"km":                          -9.026297,
+		"koD/weMQeU":                  -9.026297,
+		"kopJfsCUxYipKrMgdCNM":        -9.026297,
+		"krnibrt":                     -9.026297,
+		"ksook":                       -9.026297,
+		"kspiOj":                      -9.026297,
+		"ku":                          -9.026297,
+		"kuZi":                        -9.026297,
+		"kwBT":                        -9.026297,
+		"kwBZ":                        -9.026297,
+		"kx":                          -9.026297,
+		"ky":                          -9.026297,
+		"lKPZbfqsmGpPiAN":             -9.026297,
+		"lM":                          -9.026297,
+		"lRqs":                        -9.026297,
+		"lZKArrtusVymaCMslUyTgHKYLxyVtxLqFSQvoMgZ": -9.026297,
+		"labs.com":                           -9.026297,
+		"latest_":                            -7.234538,
+		"latest_210":                         -9.026297,
+		"latest_28":                          -9.026297,
+		"latest_29":                          -9.026297,
+		"latex":                              -8.333150,
+		"launch":                             -8.333150,
+		"launcher":                           -9.026297,
+		"launchers":                          -9.026297,
+		"lc":                                 -9.026297,
+		"ld":                                 -9.026297,
+		"ldAStPTg":                           -9.026297,
+		"level":                              -8.333150,
+		"lfntqXa":                            -9.026297,
+		"liIPE":                              -9.026297,
+		"lib":                                -8.333150,
+		"libev":                              -9.026297,
+		"license":                            -9.026297,
+		"limit":                              -8.333150,
+		"link":                               -9.026297,
+		"links":                              -8.333150,
+		"list":                               -9.026297,
+		"ln":                                 -9.026297,
+		"loMc":                               -9.026297,
+		"load_bash_autocomplete":             -8.333150,
+		"local":                              -6.253709,
+		"localhost":                          -9.026297,
+		"location":                           -9.026297,
+		"log":                                -8.333150,
+		"logLevel":                           -8.333150,
+		"lqhYXXA":                            -9.026297,
+		"ls":                                 -7.416859,
+		"lshMHHkZTrTRI":                      -9.026297,
+		"ltuWoOLV":                           -9.026297,
+		"lvuB":                               -9.026297,
+		"lynx":                               -8.333150,
+		"m":                                  -6.030565,
+		"m/DdPFrYa":                          -9.026297,
+		"mAG":                                -9.026297,
+		"mCK":                                -9.026297,
+		"mDC":                                -9.026297,
+		"mDM":                                -9.026297,
+		"mDaCqSceEMz/SKJ":                    -9.026297,
+		"mFIzPFCWb":                          -9.026297,
+		"mFYD":                               -9.026297,
+		"mFiCvL":                             -9.026297,
+		"mKgeoUqx":                           -9.026297,
+		"mNq":                                -9.026297,
+		"mOZQxM":                             -9.026297,
+		"mPkHNWzSXOI/dtOzRTYM/G":             -9.026297,
+		"mQs":                                -9.026297,
+		"mRg":                                -9.026297,
+		"mUD":                                -9.026297,
+		"mUG":                                -9.026297,
+		"mUim":                               -9.026297,
+		"mVBsLqhLWHnA":                       -9.026297,
+		"mWj":                                -9.026297,
+		"mWucvu":                             -9.026297,
+		"mXjrcmx":                            -9.026297,
+		"mZdtcZYRwz":                         -9.026297,
+		"main":                               -8.333150,
+		"main/archive":                       -8.333150,
+		"main/snapshot":                      -8.333150,
+		"make":                               -7.234538,
+		"make_release_url":                   -9.026297,
+		"make_snapshot_url":                  -9.026297,
+		"make_url":                           -8.333150,
+		"makedepends":                        -9.026297,
+		"makeinfo":                           -8.333150,
+		"man":                                -7.416859,
+		"match":                              -9.026297,
+		"max":                                -9.026297,
+		"maximum":                            -7.927685,
+		"mbxY":                               -9.026297,
+		"mem":                                -8.333150,
+		"message":                            -9.026297,
+		"mf":                                 -9.026297,
+		"mhpwJDYT":                           -9.026297,
+		"minute":                             -9.026297,
+		"mj":                                 -9.026297,
+		"mkNh":                               -9.026297,
+		"mkdir":                              -9.026297,
+		"mlX":                                -9.026297,
+		"mmm":                                -9.026297,
+		"mnTzGYhO":                           -9.026297,
+		"mnnGtPvNZ":                          -9.026297,
+		"mnt":                                -9.026297,
+		"moTZuzmr/O":                         -9.026297,
+		"month":                              -9.026297,
+		"mount":                              -6.829073,
+		"mozilla":                            -8.333150,
+		"mpg":                                -7.640003,
+		"mqSPLyDZm":                          -9.026297,
+		"mqTSekL":                            -9.026297,
+		"mrhIKdGsyMuI":                       -9.026297,
+		"msDzUugWLkoo":                       -9.026297,
+		"msKUBm":                             -9.026297,
+		"msg":                                -7.640003,
+		"msys":                               -8.333150,
+		"mtk":                                -9.026297,
+		"muOd":                               -9.026297,
+		"muUlkwB":                            -9.026297,
+		"mv":                                 -9.026297,
+		"mwDhgeJYC":                          -9.026297,
+		"mwgVLWSr":                           -9.026297,
+		"mxysxkJxfLGpmbp":                    -9.026297,
+		"myklcX":                             -9.026297,
+		"mzxr":                               -9.026297,
+		"n":                                  -5.056005,
+		"n/":                                 -9.026297,
+		"n/HkJdADweiKUCtAHJSsuY":             -9.026297,
+		"nAlCTd":                             -9.026297,
+		"nBa":                                -9.026297,
+		"nKW":                                -9.026297,
+		"nKoir":                              -9.026297,
+		"nL":                                 -9.026297,
+		"nLfBMGu":                            -9.026297,
+		"nMiP":                               -9.026297,
+		"nN":                                 -9.026297,
+		"nNO":                                -9.026297,
+		"nNSm":                               -9.026297,
+		"nO":                                 -9.026297,
+		"nOmeOi":                             -9.026297,
+		"nPsT":                               -9.026297,
+		"nR":                                 -9.026297,
+		"nSPlBOmiRvEIWdSonRTIerdeR":          -9.026297,
+		"nT":                                 -9.026297,
+		"nTBrXyz":                            -9.026297,
+		"nTg":                                -9.026297,
+		"nUd":                                -9.026297,
+		"nWGNb":                              -9.026297,
+		"nX":                                 -9.026297,
+		"nXJ":                                -9.026297,
+		"nYObzsFN":                           -9.026297,
+		"nYZG":                               -9.026297,
+		"nZUO":                               -9.026297,
+		"na":                                 -9.026297,
+		"naeBntDgO":                          -9.026297,
+		"name":                               -9.026297,
+		"name##*fo":                          -9.026297,
+		"name1":                              -9.026297,
+		"name2":                              -9.026297,
+		"nc":                                 -8.333150,
+		"ncNk/uI":                            -9.026297,
+		"ncQ":                                -9.026297,
+		"neCPVN":                             -9.026297,
+		"need":                               -9.026297,
+		"nenAA":                              -9.026297,
+		"netscape":                           -8.333150,
+		"ng":                                 -9.026297,
+		"ngdKM":                              -9.026297,
+		"nmrWvHrxd":                          -9.026297,
+		"nnKEnSN":                            -9.026297,
+		"no":                                 -6.541391,
+		"nocasematch":                        -9.026297,
+		"noshare_opts":                       -9.026297,
+		"not":                                -6.541391,
+		"np":                                 -9.026297,
+		"npBFGXTIA":                          -9.026297,
+		"nq":                                 -9.026297,
+		"ns":                                 -9.026297,
+		"ntGqYsFVGwvC":                       -9.026297,
+		"nu":                                 -9.026297,
+		"nv":                                 -9.026297,
+		"nvCyun":                             -9.026297,
+		"nw":                                 -9.026297,
+		"nw/UgmVGFZDxujrbk":                  -9.026297,
+		"nwE":                                -9.026297,
+		"nxxravr":                            -9.026297,
+		"nyytilZ":                            -9.026297,
+		"nzZ/d":                              -9.026297,
+		"o":                                  -6.030565,
+		"o/DbVkMr":                           -9.026297,
+		"o/T":                                -9.026297,
+		"o/U":                                -9.026297,
+		"oAXSQBZ":                            -9.026297,
+		"oBJIXYUonbZMDlkLUmCbTHEd":           -9.026297,
+		"oEkK":                               -9.026297,
+		"oFx":                                -9.026297,
+		"oG":                                 -9.026297,
+		"oHSneya":                            -9.026297,
+		"oJWqLKrGddPxz":                      -9.026297,
+		"oKJFVFpPTLyEoB":                     -9.026297,
+		"oKs":                                -9.026297,
+		"oLxTPG":                             -9.026297,
+		"oNIsSlTH":                           -9.026297,
+		"oObUDv":                             -9.026297,
+		"oP":                                 -9.026297,
+		"oPpx":                               -9.026297,
+		"oQNhz":                              -9.026297,
+		"oSGjKcLD":                           -9.026297,
+		"oSUr":                               -9.026297,
+		"oTl":                                -9.026297,
+		"oVBH":                               -9.026297,
+		"oVtadMvayTQZ/CEfXc/iXQJ":            -9.026297,
+		"oWC":                                -9.026297,
+		"oWUOGRYAAAAAAs":                     -9.026297,
+		"oXhJmIH":                            -9.026297,
+		"oZZmE":                              -9.026297,
+		"obBcjreNoshlDjVL":                   -9.026297,
+		"obtgl":                              -9.026297,
+		"od":                                 -9.026297,
+		"oeG":                                -9.026297,
+		"oeSFVs":                             -9.026297,
+		"of":                                 -7.234538,
+		"og":                                 -9.026297,
+		"ogTb":                               -9.026297,
+		"ogg":                                -8.333150,
+		"ogh/":                               -9.026297,
+		"ojGywfuITCuM":                       -9.026297,
+		"ojHit":                              -9.026297,
+		"ojNY":                               -9.026297,
+		"okC":                                -9.026297,
+		"ol":                                 -9.026297,
+		"olaHnJt":                            -9.026297,
+		"old":                                -7.927685,
+		"omh":                                -9.026297,
+		"onHzvAJhJ":                          -9.026297,
+		"only":                               -9.026297,
+		"ooZU":                               -9.026297,
+		"oozWGcDZoZD":                        -9.026297,
+		"openssl":                            -9.026297,
+		"opera":                              -8.333150,
+		"opt":                                -8.333150,
+		"option":                             -9.026297,
+		"options":                            -9.026297,
+		"opts":                               -9.026297,
+		"oqgJUQVaLF":                         -9.026297,
+		"org.scala":                          -7.640003,
+		"origin":                             -9.026297,
+		"osaVR":                              -9.026297,
+		"otQGsYX":                            -9.026297,
+		"other":                              -8.333150,
+		"ouQwziM":                            -9.026297,
+		"ourbB":                              -9.026297,
+		"overwrite":                          -7.927685,
+		"overwriting":                        -8.333150,
+		"ovlI":                               -9.026297,
+		"ovoCC/Fl":                           -9.026297,
+		"ovq":                                -9.026297,
+		"owpQqI":                             -9.026297,
+		"ozVg":                               -9.026297,
+		"ozrS":                               -9.026297,
+		"p":                                  -5.935255,
+		"p/":                                 -9.026297,
+		"pBZPBrefrWz":                        -9.026297,
+		"pDIJGpF":                            -9.026297,
+		"pEegVd":                             -9.026297,
+		"pFAMpbZhvfGO":                       -9.026297,
+		"pFL":                                -9.026297,
+		"pFPrvS":                             -9.026297,
+		"pFRJX":                              -9.026297,
+		"pHVJA/iejpgFEPJSeTe":                -9.026297,
+		"pIwVTnBB":                           -9.026297,
+		"pK":                                 -9.026297,
+		"pKO":                                -9.026297,
+		"pMbLBR":                             -9.026297,
+		"pQ":                                 -9.026297,
+		"pRKqvLb":                            -9.026297,
+		"pSAsDWHkXmB":                        -9.026297,
+		"pTfBNjIKvi":                         -9.026297,
+		"pTofDlnnz":                          -9.026297,
+		"pU":                                 -9.026297,
+		"pVSuvKgPsnS":                        -9.026297,
+		"pVTGuH":                             -9.026297,
+		"pWDpal":                             -9.026297,
+		"pXRwFj":                             -9.026297,
+		"pYjaN":                              -9.026297,
+		"pZAG/tPWglviGHd/jKNKmNz":            -9.026297,
+		"package":                            -9.026297,
+		"page":                               -7.640003,
+		"pajeod":                             -9.026297,
+		"pass":                               -8.333150,
+		"pat":                                -7.927685,
+		"patch":                              -8.333150,
+		"path":                               -6.946856,
+		"pbO":                                -9.026297,
+		"pcZ":                                -9.026297,
+		"pcletmxUKcC":                        -9.026297,
+		"pcwnB":                              -9.026297,
+		"pcwvIZ":                             -9.026297,
+		"pd":                                 -9.026297,
+		"pdfjadetex":                         -8.333150,
+		"pdflatex":                           -8.333150,
+		"pdftex":                             -8.333150,
+		"pdhQmzl":                            -9.026297,
+		"pdide":                              -9.026297,
+		"pdmn":                               -9.026297,
+		"pe":                                 -9.026297,
+		"peYJdF":                             -9.026297,
+		"pemKpRG":                            -9.026297,
+		"perl":                               -7.927685,
+		"perm":                               -7.234538,
+		"pg":                                 -8.333150,
+		"ph":                                 -9.026297,
+		"pi":                                 -9.026297,
+		"pi/pX":                              -9.026297,
+		"piVgH":                              -9.026297,
+		"piZ":                                -9.026297,
+		"pic":                                -9.026297,
+		"ping":                               -8.333150,
+		"pkgdesc":                            -9.026297,
+		"pkgname":                            -9.026297,
+		"pkgrel":                             -9.026297,
+		"pkgver":                             -9.026297,
+		"pkhbK":                              -9.026297,
+		"playmidi":                           -8.333150,
+		"plumb":                              -9.026297,
+		"pnVos":                              -9.026297,
+		"pnunM":                              -9.026297,
+		"podr":                               -9.026297,
+		"postproc":                           -7.927685,
+		"ppWRENgCIdf":                        -9.026297,
+		"ppn":                                -9.026297,
+		"pqYJXCSuuVJCSGpR":                   -9.026297,
+		"precmd":                             -8.333150,
+		"prefix":                             -9.026297,
+		"prepend":                            -9.026297,
+		"prepended":                          -8.333150,
+		"preproc":                            -6.030565,
+		"print":                              -9.026297,
+		"print_help":                         -8.333150,
+		"printf":                             -7.640003,
+		"process":                            -9.026297,
+		"process_args":                       -9.026297,
+		"processed":                          -9.026297,
+		"project":                            -9.026297,
+		"project.":                           -9.026297,
+		"project/build.properties":           -6.829073,
+		"prompt":                             -8.333150,
+		"proof":                              -9.026297,
+		"properties":                         -9.026297,
+		"provides":                           -9.026297,
+		"ps":                                 -7.927685,
+		"psukyFX":                            -9.026297,
+		"ptAwJwEaA":                          -9.026297,
+		"ptXGfbQIuBozZeUz/":                  -9.026297,
+		"puDtUgEsm":                          -9.026297,
+		"puW":                                -9.026297,
+		"pull":                               -9.026297,
+		"pushd":                              -8.333150,
+		"puulzpPSg":                          -9.026297,
+		"pvmxxUMhvQfIGY":                     -9.026297,
+		"pwxQU":                              -9.026297,
+		"pxSW":                               -9.026297,
+		"q":                                  -6.030565,
+		"q/":                                 -9.026297,
+		"q/ZX":                               -9.026297,
+		"qAQ":                                -9.026297,
+		"qAbuIgebtMbII/fz":                   -9.026297,
+		"qCQfl":                              -9.026297,
+		"qCX":                                -9.026297,
+		"qCXqM/r":                            -9.026297,
+		"qCiU":                               -9.026297,
+		"qETRkiIV":                           -9.026297,
+		"qEldcjmNPkxHHiM":                    -9.026297,
+		"qGxJY":                              -9.026297,
+		"qIXX":                               -9.026297,
+		"qLx":                                -9.026297,
+		"qMFyUP":                             -9.026297,
+		"qMdQax":                             -9.026297,
+		"qNWWQ":                              -9.026297,
+		"qNswYbuRc":                          -9.026297,
+		"qOyvJrEoflj":                        -9.026297,
+		"qQbzmiKvfvur/BLx":                   -9.026297,
+		"qQij":                               -9.026297,
+		"qTpklgi":                            -9.026297,
+		"qUAgL":                              -9.026297,
+		"qX":                                 -8.333150,
+		"qXJiM/ePDYwPcq":                     -9.026297,
+		"qXgosgYiGJZNbzEiOoEB":               -9.026297,
+		"qYVK":                               -9.026297,
+		"qZ":                                 -9.026297,
+		"qaaTV":                              -9.026297,
+		"qadclm":                             -9.026297,
+		"qasmS":                              -9.026297,
+		"qcJNafOQ/BqhGwRpgwmzbOi":            -9.026297,
+		"qd":                                 -9.026297,
+		"qdcc":                               -9.026297,
+		"qeOWFpc/FQFgzUepdYD":                -9.026297,
+		"qgLLHYROhflteDmKKVn":                -9.026297,
+		"qh":                                 -9.026297,
+		"qiamcdsl":                           -9.026297,
+		"qiv":                                -8.333150,
+		"qjNAV":                              -9.026297,
+		"qlMiG":                              -9.026297,
+		"qlcYeMcIx":                          -9.026297,
+		"qmFfyshHU":                          -9.026297,
+		"qnfUlde":                            -9.026297,
+		"qnjxI":                              -9.026297,
+		"qoLlun":                             -9.026297,
+		"qp":                                 -9.026297,
+		"qqpqX":                              -9.026297,
+		"qrg":                                -9.026297,
+		"qsbUnWfhf/HJBcZHzNbP":               -9.026297,
+		"query":                              -9.026297,
+		"quiet":                              -7.927685,
+		"quit":                               -8.333150,
+		"qv":                                 -9.026297,
+		"qxhuNssfirqXrkLJAyPW":               -9.026297,
+		"qxiBk":                              -9.026297,
+		"qyU":                                -9.026297,
+		"qzAasgPBrvWJtn":                     -9.026297,
+		"qzkQvdkbUMf":                        -9.026297,
+		"r":                                  -5.807422,
+		"r/XvFt":                             -9.026297,
+		"rBvquqlXlAw":                        -9.026297,
+		"rCOMRP":                             -9.026297,
+		"rCVAAEwdadAW":                       -9.026297,
+		"rDfRXMhZSS":                         -9.026297,
+		"rEtxZ/lkSsYf":                       -9.026297,
+		"rFxVfZHdbr/tcCHnb":                  -9.026297,
+		"rHzZohvteIMgpChyzbMMtY":             -9.026297,
+		"rI":                                 -9.026297,
+		"rIoFr":                              -9.026297,
+		"rIrxO":                              -9.026297,
+		"rJlVB":                              -9.026297,
+		"rL":                                 -9.026297,
+		"rNOOolvHeqIPjtvaTYJIUk":             -9.026297,
+		"rO":                                 -9.026297,
+		"rOpvWs":                             -9.026297,
+		"rPRVLlj":                            -9.026297,
+		"rRGAgAhARwAAAAQz":                   -9.026297,
+		"rS/zmJPGo":                          -9.026297,
+		"rSv":                                -9.026297,
+		"rTi/a":                              -9.026297,
+		"rU":                                 -9.026297,
+		"rYJyJwgvIzfBMGU":                    -9.026297,
+		"rZXe":                               -9.026297,
+		"ray":                                -9.026297,
+		"rb":                                 -9.026297,
+		"rbGvwQ":                             -9.026297,
+		"rbJ":                                -9.026297,
+		"rbenv":                              -8.333150,
+		"rctHO":                              -9.026297,
+		"rdMFuvfp":                           -9.026297,
+		"read":                               -9.026297,
+		"readlink":                           -9.026297,
+		"readonly":                           -8.333150,
+		"realplay":                           -8.333150,
+		"rehash":                             -8.333150,
+		"releases":                           -9.026297,
+		"remote.origin.pushurl":              -9.026297,
+		"remote.origin.url":                  -9.026297,
+		"require_arg":                        -7.234538,
+		"reset":                              -9.026297,
+		"residual_args":                      -7.927685,
+		"residuals":                          -9.026297,
+		"return":                             -8.333150,
+		"rf":                                 -9.026297,
+		"rfQlYXYTRQ":                         -9.026297,
+		"rfork":                              -8.333150,
+		"rgview":                             -8.333150,
+		"rgvim":                              -8.333150,
+		"rh":                                 -9.026297,
+		"riAYYMD":                            -9.026297,
+		"rjlJdP":                             -9.026297,
+		"rjvWPkvIpXBKBqMd":                   -9.026297,
+		"rk":                                 -9.026297,
+		"rkv":                                -9.026297,
+		"rm":                                 -8.333150,
+		"rmdir":                              -8.333150,
+		"roff":                               -7.234538,
+		"root":                               -8.333150,
+		"rp":                                 -9.026297,
+		"rpQUz":                              -9.026297,
+		"rqz":                                -9.026297,
+		"rrCcz/WxBl":                         -9.026297,
+		"rrX":                                -9.026297,
+		"rrsOGbrsbaLR":                       -9.026297,
+		"rs/cmJzTEypO":                       -9.026297,
+		"rt":                                 -9.026297,
+		"rtECqzmdq":                          -9.026297,
+		"rtnSgW/nSLVe":                       -9.026297,
+		"rtpXXV":                             -9.026297,
+		"ruMzh":                              -9.026297,
+		"run":                                -9.026297,
+		"runner":                             -8.333150,
+		"rview":                              -8.333150,
+		"rvim":                               -8.333150,
+		"rvm":                                -9.026297,
+		"rvm_ignore_rvmrc":                   -9.026297,
+		"rvm_is_not_a_shell_function":        -8.333150,
+		"rvm_path":                           -7.640003,
+		"rvm_path/scripts":                   -9.026297,
+		"rvm_rvmrc_files":                    -7.927685,
+		"rvmrc":                              -7.927685,
+		"rwVdfXebGJ":                         -9.026297,
+		"rx":                                 -9.026297,
+		"rzr/":                               -9.026297,
+		"s":                                  -5.592310,
+		"sB":                                 -9.026297,
+		"sCHb":                               -9.026297,
+		"sDTLNHl":                            -9.026297,
+		"sDubtNkLYx":                         -9.026297,
+		"sEeAu":                              -9.026297,
+		"sICHMSq":                            -9.026297,
+		"sIHKdi":                             -9.026297,
+		"sJSMs":                              -9.026297,
+		"sLVO":                               -9.026297,
+		"sNLmGNfekl":                         -9.026297,
+		"sNZFRmHKtp/":                        -9.026297,
+		"sPo":                                -9.026297,
+		"sQr":                                -9.026297,
+		"sRYzH":                              -9.026297,
+		"sRlPgvdfAFtLIveNievHwSVrdj":         -9.026297,
+		"sU":                                 -9.026297,
+		"sVmQ":                               -9.026297,
+		"sWUrXjgMRV":                         -9.026297,
+		"sWlu/":                              -9.026297,
+		"sWqrLVUdKewCWsZ":                    -9.026297,
+		"sZ":                                 -9.026297,
+		"sZatbJmo":                           -9.026297,
+		"saYNSd":                             -9.026297,
+		"save":                               -7.640003,
+		"sbt":                                -5.694093,
+		"sbt.version":                        -8.333150,
+		"sbt_artifactory_list":               -8.333150,
+		"sbt_commands":                       -9.026297,
+		"sbt_create":                         -9.026297,
+		"sbt_create=":                        -9.026297,
+		"sbt_dir":                            -8.333150,
+		"sbt_explicit_version":               -7.416859,
+		"sbt_explicit_version=":              -8.333150,
+		"sbt_groupid":                        -7.927685,
+		"sbt_jar":                            -9.026297,
+		"sbt_jar=":                           -9.026297,
+		"sbt_launch_dir":                     -7.927685,
+		"sbt_launch_dir=":                    -9.026297,
+		"sbt_mem":                            -8.333150,
+		"sbt_opts_file":                      -7.927685,
+		"sbt_release_version":                -8.333150,
+		"sbt_snapshot":                       -9.026297,
+		"sbt_snapshot_version":               -9.026297,
+		"sbt_version":                        -6.946856,
+		"sbtargs":                            -8.333150,
+		"sbtargs=":                           -8.333150,
+		"sbtopts":                            -9.026297,
+		"scRn":                               -9.026297,
+		"scala":                              -7.080387,
+		"scalaHome":                          -9.026297,
+		"scalaVersion":                       -9.026297,
+		"scala_version":                      -7.927685,
+		"scalacOptions":                      -8.333150,
+		"scalac_args":                        -7.927685,
+		"score":                              -8.333150,
+		"score=":                             -7.927685,
+		"script_dir":                         -9.026297,
+		"script_name":                        -8.333150,
+		"script_path":                        -9.026297,
+		"sdyPPdARI":                          -9.026297,
+		"seOEcSI":                            -9.026297,
+		"search":                             -8.333150,
+		"search=":                            -9.026297,
+		"sec":                                -7.234538,
+		"sec/INDEX":                          -9.026297,
+		"second":                             -9.026297,
+		"secret":                             -9.026297,
+		"sed":                                -6.081858,
+		"service":                            -9.026297,
+		"set":                                -5.935255,
+		"setopt":                             -6.946856,
+		"setting":                            -9.026297,
+		"sez":                                -9.026297,
+		"sffavAZPB":                          -9.026297,
+		"sgTBAHyJoF":                         -9.026297,
+		"sh":                                 -9.026297,
+		"shTPQ":                              -9.026297,
+		"sharehistory":                       -8.333150,
+		"shell_is_bash":                      -8.333150,
+		"shift":                              -5.848244,
+		"shopt":                              -7.080387,
+		"siQ":                                -9.026297,
+		"sjXSat":                             -9.026297,
+		"slLy/vgRkzshm":                      -9.026297,
+		"slghgU":                             -9.026297,
+		"slitex":                             -8.333150,
+		"sll":                                -9.026297,
+		"snap":                               -9.026297,
+		"snapshot":                           -7.927685,
+		"snapshots":                          -9.026297,
+		"sncSSEA":                            -9.026297,
+		"sncr":                               -9.026297,
+		"so":                                 -9.026297,
+		"sort":                               -9.026297,
+		"source":                             -6.946856,
+		"sources":                            -7.640003,
+		"sources.cs.bell":                    -9.026297,
+		"sourcesdump":                        -9.026297,
+		"sourcessnap":                        -9.026297,
+		"specified":                          -7.640003,
+		"sqcmhhO":                            -9.026297,
+		"srv":                                -7.416859,
+		"srvz":                               -9.026297,
+		"stDPllv":                            -9.026297,
+		"start":                              -8.333150,
+		"stopped":                            -8.333150,
+		"stripped":                           -9.026297,
+		"stty":                               -8.333150,
+		"stud":                               -7.640003,
+		"stuff":                              -9.026297,
+		"such":                               -9.026297,
+		"sumRXhcPA":                          -9.026297,
+		"svWHhQa":                            -9.026297,
+		"svqNWFz":                            -9.026297,
+		"switch":                             -7.416859,
+		"sy":                                 -9.026297,
+		"syTE/TG":                            -9.026297,
+		"system":                             -9.026297,
+		"szETjtHhwYNv":                       -9.026297,
+		"szKJvcu/":                           -9.026297,
+		"szy":                                -9.026297,
+		"t":                                  -6.135926,
+		"t/Iy":                               -9.026297,
+		"t/ewXGFDf/MsHbuj":                   -9.026297,
+		"tAkAE":                              -9.026297,
+		"tAqaC":                              -9.026297,
+		"tDOgS":                              -9.026297,
+		"tE":                                 -9.026297,
+		"tHB":                                -9.026297,
+		"tL":                                 -9.026297,
+		"tLECcOYOoDpvxlCEnbxtrt":             -9.026297,
+		"tLbs":                               -9.026297,
+		"tMwgfO/CgWgkGXkd":                   -9.026297,
+		"tOS":                                -9.026297,
+		"tOnED":                              -9.026297,
+		"tP":                                 -9.026297,
+		"tPBHUJt":                            -9.026297,
+		"tRFjlyLrzK":                         -9.026297,
+		"tTcviIwpdwmonpSwY":                  -9.026297,
+		"tTrqjHRTkSQbw":                      -9.026297,
+		"tVAHUbizPC":                         -9.026297,
+		"tXC":                                -9.026297,
+		"tYebd":                              -9.026297,
+		"taIOCG":                             -9.026297,
+		"tail":                               -9.026297,
+		"tar":                                -9.026297,
+		"target":                             -9.026297,
+		"tbl":                                -9.026297,
+		"tc":                                 -9.026297,
+		"tcp":                                -9.026297,
+		"tdcgUZMysEAV":                       -9.026297,
+		"term":                               -8.333150,
+		"terminals":                          -8.333150,
+		"test":                               -6.541391,
+		"tex":                                -8.333150,
+		"texi":                               -7.640003,
+		"tfB":                                -9.026297,
+		"tfV":                                -9.026297,
+		"tg":                                 -9.026297,
+		"tgQeeAsurSlT":                       -9.026297,
+		"the":                                -5.890803,
+		"them":                               -9.026297,
+		"then":                               -5.288628,
+		"there":                              -9.026297,
+		"this":                               -7.640003,
+		"timidity":                           -8.333150,
+		"tjqtZhDfSt":                         -9.026297,
+		"tkVXfSc":                            -9.026297,
+		"tlbgSp":                             -9.026297,
+		"tloBApM":                            -9.026297,
+		"tmrLFfs":                            -9.026297,
+		"tn":                                 -9.026297,
+		"tnXscSRN":                           -9.026297,
+		"to":                                 -5.625100,
+		"toJ":                                -9.026297,
+		"tools.sbt":                          -7.927685,
+		"torZ":                               -9.026297,
+		"tpJUe":                              -9.026297,
+		"tpOyTkboo":                          -9.026297,
+		"tqJpS/":                             -9.026297,
+		"tqMHKC":                             -9.026297,
+		"tr":                                 -9.026297,
+		"troff":                              -7.416859,
+		"true":                               -7.416859,
+		"try":                                -7.416859,
+		"tsrp":                               -9.026297,
+		"tt":                                 -9.026297,
+		"tuG":                                -9.026297,
+		"twTYYfiEpesSn":                      -9.026297,
+		"type":                               -8.333150,
+		"typeset":                            -7.416859,
+		"tzTC":                               -9.026297,
+		"u":                                  -7.416859,
+		"uGq":                                -9.026297,
+		"uHKXmCL":                            -9.026297,
+		"uI":                                 -9.026297,
+		"uSDSPCiLHxnril":                     -9.026297,
+		"uTNLShr":                            -9.026297,
+		"uXLGhqXNV":                          -9.026297,
+		"uZ/gPp":                             -9.026297,
+		"uZW":                                -9.026297,
+		"ubHGY/q":                            -9.026297,
+		"ucgZlb":                             -9.026297,
+		"ue":                                 -9.026297,
+		"umask":                              -8.333150,
+		"unalias":                            -8.333150,
+		"uncompress":                         -8.333150,
+		"unset":                              -6.723712,
+		"unzip":                              -8.333150,
+		"upKbLj/sRWnz":                       -9.026297,
+		"update_build_props_sbt":             -8.333150,
+		"url":                                -7.640003,
+		"url=":                               -9.026297,
+		"us":                                 -9.026297,
+		"usage":                              -8.333150,
+		"use":                                -6.946856,
+		"uses":                               -8.333150,
+		"uyc":                                -9.026297,
+		"uzPe":                               -9.026297,
+		"v":                                  -5.981775,
+		"vAUObvi":                            -9.026297,
+		"vAdksZ":                             -9.026297,
+		"vDRI":                               -9.026297,
+		"vDn":                                -9.026297,
+		"vE":                                 -9.026297,
+		"vF":                                 -9.026297,
+		"vGvV/w":                             -9.026297,
+		"vHexKX":                             -9.026297,
+		"vI/OliDK":                           -9.026297,
+		"vJbXhtf/Ybjq":                       -9.026297,
+		"vKoTf":                              -9.026297,
+		"vMQoVDqNB":                          -9.026297,
+		"vO":                                 -8.333150,
+		"vOwU":                               -9.026297,
+		"vPjiPlltLmEOvNp":                    -9.026297,
+		"vQEW":                               -9.026297,
+		"vQSPFg/hyqntcluKVrIF":               -9.026297,
+		"vQeldx":                             -9.026297,
+		"vQkrbS":                             -9.026297,
+		"vR":                                 -9.026297,
+		"vSTfyJgjVS":                         -9.026297,
+		"vUSgTPAF":                           -9.026297,
+		"vUZA":                               -9.026297,
+		"vWr":                                -9.026297,
+		"vX":                                 -9.026297,
+		"vYYsT":                              -9.026297,
+		"vac":                                -7.640003,
+		"vacfs":                              -8.333150,
+		"val":                                -8.333150,
+		"value":                              -9.026297,
+		"variable":                           -7.927685,
+		"vbW":                                -9.026297,
+		"vbt":                                -9.026297,
+		"vc":                                 -9.026297,
+		"vcxyrs":                             -9.026297,
+		"vcyCMQwCogR":                        -9.026297,
+		"vdBB":                               -9.026297,
+		"vdir":                               -8.333150,
+		"vekMg":                              -9.026297,
+		"vekRCGiLdMRfB":                      -9.026297,
+		"ver":                                -7.416859,
+		"verbose":                            -7.640003,
+		"version":                            -5.890803,
+		"versionLine":                        -8.333150,
+		"versionLine##build.scala.versions":  -9.026297,
+		"versionLine##sbt.version":           -9.026297,
+		"versionString":                      -7.927685,
+		"versions":                           -9.026297,
+		"vfXJZKUiVHuvWM":                     -9.026297,
+		"vg":                                 -9.026297,
+		"vgMeIEHiYlCvzKf":                    -9.026297,
+		"vhOUMmqAnZ":                         -9.026297,
+		"vi":                                 -8.333150,
+		"view":                               -8.333150,
+		"vim":                                -8.333150,
+		"vjPYQO":                             -9.026297,
+		"vlog":                               -9.026297,
+		"vly":                                -9.026297,
+		"vnFaVtLw":                           -9.026297,
+		"vpDLAaAg":                           -9.026297,
+		"vpIl":                               -9.026297,
+		"vq":                                 -9.026297,
+		"vrLPZ":                              -9.026297,
+		"vriHa":                              -9.026297,
+		"vrnnxPX/q":                          -9.026297,
+		"vs":                                 -9.026297,
+		"vtBRpt":                             -9.026297,
+		"vvU":                                -9.026297,
+		"vvY":                                -9.026297,
+		"vxK":                                -9.026297,
+		"vy/YKuCHEuNc":                       -9.026297,
+		"vzaNVCD/Y":                          -9.026297,
+		"vzu":                                -9.026297,
+		"w":                                  -6.081858,
+		"w//":                                -9.026297,
+		"wASbUq":                             -9.026297,
+		"wAeC":                               -9.026297,
+		"wAzVhjztfQH":                        -9.026297,
+		"wBEgvhGcn":                          -9.026297,
+		"wDJ":                                -9.026297,
+		"wDTAq":                              -9.026297,
+		"wEGb":                               -9.026297,
+		"wGHHF":                              -9.026297,
+		"wGzU":                               -9.026297,
+		"wHzd":                               -9.026297,
+		"wIAAAAABFla":                        -9.026297,
+		"wIE":                                -9.026297,
+		"wInBVE":                             -9.026297,
+		"wJ":                                 -9.026297,
+		"wK":                                 -9.026297,
+		"wLKHam":                             -9.026297,
+		"wLoKHaNUi":                          -9.026297,
+		"wMDeoIJfXl/QSnUTdL":                 -9.026297,
+		"wOVtn":                              -9.026297,
+		"wPPJkoinlCNOyyWvRLw":                -9.026297,
+		"wPZp":                               -9.026297,
+		"wPlhgp":                             -9.026297,
+		"wSuv":                               -9.026297,
+		"wTrC":                               -9.026297,
+		"wTuPO":                              -9.026297,
+		"wUt":                                -9.026297,
+		"wVNfVeuOne":                         -9.026297,
+		"wViBIwQ":                            -9.026297,
+		"wYK":                                -9.026297,
+		"want":                               -9.026297,
+		"warn":                               -9.026297,
+		"was":                                -9.026297,
+		"wbcVL":                              -9.026297,
+		"wcG":                                -9.026297,
+		"wd":                                 -9.026297,
+		"wdFhGnI":                            -9.026297,
+		"we":                                 -9.026297,
+		"were":                               -9.026297,
+		"wf":                                 -9.026297,
+		"wgeCLSeBLG":                         -9.026297,
+		"wgn":                                -9.026297,
+		"what":                               -9.026297,
+		"when":                               -8.333150,
+		"which":                              -7.640003,
+		"while":                              -7.640003,
+		"whoami":                             -8.333150,
+		"wi":                                 -9.026297,
+		"wifooev/":                           -9.026297,
+		"wiki":                               -8.333150,
+		"wine":                               -8.333150,
+		"with":                               -9.026297,
+		"wjPnwLp":                            -9.026297,
+		"wkFd":                               -9.026297,
+		"wm":                                 -9.026297,
+		"wmxuUrjmyOXVEn":                     -9.026297,
+		"wnJBxK":                             -9.026297,
+		"wor":                                -9.026297,
+		"wp":                                 -9.026297,
+		"wpSbdLRLCixP":                       -9.026297,
+		"wqMGNFjA":                           -9.026297,
+		"wrapper.jar":                        -9.026297,
+		"wrsFT":                              -9.026297,
+		"wsAZJhz":                            -9.026297,
+		"wsGw":                               -9.026297,
+		"wsys":                               -9.026297,
+		"wtOiHknMlnzUdiEje":                  -9.026297,
+		"wuD":                                -9.026297,
+		"wv":                                 -9.026297,
+		"wwvXs/DbVqQRLkckwYF":                -9.026297,
+		"wxIDS":                              -9.026297,
+		"wziA":                               -9.026297,
+		"x":                                  -5.529790,
+		"xAgfNToz":                           -9.026297,
+		"xCJmoagZBO":                         -9.026297,
+		"xFii":                               -9.026297,
+		"xGoyAIqampubm":                      -9.026297,
+		"xIHkE":                              -9.026297,
+		"xK":                                 -9.026297,
+		"xOLi":                               -9.026297,
+		"xQG":                                -9.026297,
+		"xX":                                 -9.026297,
+		"xaWuevgEPh":                         -9.026297,
+		"xakZuAOdVSGrUp":                     -9.026297,
+		"xanim":                              -8.333150,
+		"xcFMM":                              -9.026297,
+		"xcWr":                               -9.026297,
+		"xdsgwAReg":                          -9.026297,
+		"xdvi":                               -8.333150,
+		"xe":                                 -9.026297,
+		"xeCfCKHNY":                          -9.026297,
+		"xeo":                                -9.026297,
+		"xfig":                               -8.333150,
+		"xine":                               -8.333150,
+		"xjDF":                               -9.026297,
+		"xjk":                                -9.026297,
+		"xly":                                -9.026297,
+		"xm":                                 -9.026297,
+		"xmkdFwO":                            -9.026297,
+		"xmms":                               -8.333150,
+		"xnP":                                -9.026297,
+		"xoD":                                -8.333150,
+		"xond":                               -9.026297,
+		"xp":                                 -9.026297,
+		"xpdf":                               -8.333150,
+		"xr":                                 -9.026297,
+		"xtL":                                -9.026297,
+		"xtcM":                               -9.026297,
+		"xv":                                 -7.927685,
+		"xx":                                 -9.026297,
+		"xxK":                                -9.026297,
+		"xyfCjKjARYpoVYbN":                   -9.026297,
+		"xzd":                                -9.026297,
+		"y":                                  -6.461348,
+		"y/":                                 -9.026297,
+		"yATDyCs":                            -9.026297,
+		"yAudU":                              -9.026297,
+		"yBj":                                -9.026297,
+		"yCjiZHKx":                           -9.026297,
+		"yCkcKJEWiVfO":                       -9.026297,
+		"yD":                                 -9.026297,
+		"yDIb":                               -9.026297,
+		"yE":                                 -9.026297,
+		"yF":                                 -9.026297,
+		"yF/":                                -9.026297,
+		"yFiJAKj":                            -9.026297,
+		"yHk/UfkLBgUaAAS":                    -9.026297,
+		"yHtG/ONcEQVQa":                      -9.026297,
+		"yI/":                                -9.026297,
+		"yLpCVumw":                           -9.026297,
+		"yM":                                 -9.026297,
+		"yMpE":                               -9.026297,
+		"yMys":                               -9.026297,
+		"yODIh":                              -9.026297,
+		"yP":                                 -9.026297,
+		"yPEb":                               -9.026297,
+		"yQHq":                               -9.026297,
+		"ySIr":                               -9.026297,
+		"ySjinJojSOnMLg":                     -9.026297,
+		"yTVpyqz":                            -9.026297,
+		"yTi":                                -9.026297,
+		"yUUu":                               -9.026297,
+		"yV":                                 -8.333150,
+		"yVTAFu":                             -9.026297,
+		"yWCvs":                              -9.026297,
+		"yWu":                                -9.026297,
+		"yX":                                 -8.333150,
+		"yXvvzH":                             -9.026297,
+		"yYz//vTT":                           -9.026297,
+		"yZ":                                 -9.026297,
+		"yZ/mObyoidqEH":                      -9.026297,
+		"yayqiWeY":                           -9.026297,
+		"ybKHckMYySx":                        -9.026297,
+		"yeCBqPutYp":                         -9.026297,
+		"yeU":                                -9.026297,
+		"year":                               -9.026297,
+		"yes":                                -9.026297,
+		"yf":                                 -8.333150,
+		"yfpMJq":                             -9.026297,
+		"ygSF":                               -9.026297,
+		"ykr":                                -9.026297,
+		"ylO":                                -9.026297,
+		"ylesxWcgnChr":                       -9.026297,
+		"ylrywZZPIX":                         -9.026297,
+		"ymDr":                               -9.026297,
+		"ynFdwoHr":                           -9.026297,
+		"ynLcgYoXnIU":                        -9.026297,
+		"yoQcUHIDBvr":                        -9.026297,
+		"yorXH":                              -9.026297,
+		"you":                                -9.026297,
+		"your":                               -8.333150,
+		"ypSFGaHlQ":                          -9.026297,
+		"yquPiuK":                            -9.026297,
+		"yrIyeNVRJGDSkKyCZHmw":               -9.026297,
+		"yrah":                               -9.026297,
+		"yv":                                 -9.026297,
+		"yvyI":                               -9.026297,
+		"ywY":                                -9.026297,
+		"yxq":                                -9.026297,
+		"yytaWb":                             -9.026297,
+		"yzvdImA":                            -9.026297,
+		"z":                                  -5.981775,
+		"z/":                                 -9.026297,
+		"zAzro":                              -9.026297,
+		"zBDGGGGVE":                          -9.026297,
+		"zCanNxWnvKKid":                      -9.026297,
+		"zCkbAi":                             -9.026297,
+		"zE":                                 -9.026297,
+		"zEEGVuOXo":                          -9.026297,
+		"zH":                                 -9.026297,
+		"zIqZ":                               -9.026297,
+		"zIzw":                               -9.026297,
+		"zJ/Ow":                              -9.026297,
+		"zJRG":                               -9.026297,
+		"zJc":                                -9.026297,
+		"zJe":                                -9.026297,
+		"zKtAMH":                             -9.026297,
+		"zLgI":                               -9.026297,
+		"zLvcD":                              -9.026297,
+		"zMEcTgV":                            -9.026297,
+		"zMzv":                               -9.026297,
+		"zPTuPfjNqKBT":                       -9.026297,
+		"zPiR":                               -9.026297,
+		"zQj":                                -9.026297,
+		"zQkW":                               -9.026297,
+		"zQsdQ":                              -9.026297,
+		"zRFVTm":                             -9.026297,
+		"zTaBRrPo":                           -9.026297,
+		"zTggEIsdr":                          -9.026297,
+		"zTh":                                -9.026297,
+		"zVS":                                -9.026297,
+		"zVZJMEzwuNqeXrJqoZ":                 -9.026297,
+		"zVzGwXFhkCv":                        -9.026297,
+		"zXBiPRU":                            -9.026297,
+		"zXgSReFP":                           -9.026297,
+		"zZLZNalgXdhdTRdlAYSgjkz/fJHClbtWUv": -9.026297,
+		"zZnKokeDtCcWJnoeyf":                 -9.026297,
+		"zZqClAGYr":                          -9.026297,
+		"zbbOyHoUBA":                         -9.026297,
+		"zbyQOA":                             -9.026297,
+		"zca":                                -9.026297,
+		"zcat":                               -8.333150,
+		"zcmp":                               -8.333150,
+		"zdVmCEEkkjMZCNSIwcIyt":              -9.026297,
+		"zdiff":                              -8.333150,
+		"zegrep":                             -8.333150,
+		"zfgrep":                             -8.333150,
+		"zgrep":                              -8.333150,
+		"zipinfo":                            -8.333150,
+		"zitEpn":                             -9.026297,
+		"zj":                                 -9.026297,
+		"zjnAsTWpmbl":                        -9.026297,
+		"zkCd":                               -9.026297,
+		"zkDrjNQuv":                          -9.026297,
+		"zless":                              -8.333150,
+		"zm":                                 -9.026297,
+		"zmore":                              -8.333150,
+		"zn":                                 -9.026297,
+		"znHNXbTE":                           -9.026297,
+		"znew":                               -8.333150,
+		"znzaTTi":                            -9.026297,
+		"zqCi":                               -9.026297,
+		"zqh":                                -9.026297,
+		"zrRaMkcrVWleMONdG":                  -9.026297,
+		"zrpB":                               -9.026297,
+		"zt":                                 -9.026297,
+		"zvReuPR":                            -9.026297,
+		"zvqCoX":                             -9.026297,
+		"zw/bhfp":                            -9.026297,
+		"zwevglUxtXTellcCExpaKXv":            -9.026297,
+		"zxI":                                -9.026297,
+		"zxT":                                -9.026297,
+		"zxg":                                -9.026297,
+		"zyZfncpMvSEHgO":                     -9.026297,
+		"zyyvjz":                             -9.026297,
+		"{":                                  -4.735838,
+		"|":                                  -5.388711,
+		"||":                                 -6.461348,
+		"}":                                  -4.735838,
+		"~":                                  -6.081858,
 	},
 	"ShellSession": map[string]float64{
 		"!": -4.867534,
@@ -148020,6 +153401,48 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"|":               -3.527225,
 		"}":               -5.061156,
 	},
+	"Sieve": map[string]float64{
+		"(":           -5.129899,
+		")":           -4.724434,
+		",":           -2.878607,
+		":":           -2.016383,
+		";":           -1.994404,
+		"[":           -3.743604,
+		"]":           -3.743604,
+		"address":     -5.823046,
+		"allof":       -5.823046,
+		"anyof":       -5.823046,
+		"comparator":  -3.877136,
+		"contains":    -4.724434,
+		"create":      -5.129899,
+		"currentdate": -4.436752,
+		"detail":      -4.724434,
+		"discard":     -4.724434,
+		"else":        -4.724434,
+		"elsif":       -4.213608,
+		"envelope":    -4.031286,
+		"fileinto":    -2.989833,
+		"header":      -3.743604,
+		"if":          -2.878607,
+		"is":          -3.743604,
+		"keep":        -5.129899,
+		"lower":       -5.129899,
+		"matches":     -3.743604,
+		"not":         -5.823046,
+		"percent":     -5.823046,
+		"reject":      -5.823046,
+		"require":     -3.050457,
+		"set":         -4.031286,
+		"spamtest":    -4.724434,
+		"stop":        -5.823046,
+		"string":      -5.129899,
+		"upperfirst":  -5.129899,
+		"user":        -5.129899,
+		"value":       -3.877136,
+		"virustest":   -4.724434,
+		"{":           -2.490841,
+		"}":           -2.389059,
+	},
 	"Slash": map[string]float64{
 		"\"":             -5.278115,
 		"(":              -3.486355,
@@ -151141,94 +156564,95 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                                    -4.814891,
 	},
 	"Starlark": map[string]float64{
-		"(": -2.439121,
-		")": -2.516082,
-		"+": -4.030210,
-		",": -1.745974,
-		"//third_party/javascript/google_cast/cast.js": -6.428105,
-		":":                                -2.962369,
-		"=":                                -2.033656,
-		"@closure_library//":               -6.428105,
-		"API_DEPS":                         -5.734958,
-		"False":                            -6.428105,
-		"Label":                            -6.428105,
-		"None":                             -6.428105,
-		"True":                             -5.329493,
-		"[":                                -2.621443,
-		"]":                                -2.666905,
-		"_COMPILATION_LEVELS":              -5.329493,
-		"_SUPPORTED_LANGUAGES":             -4.818667,
-		"_impl":                            -5.734958,
-		"allow_files":                      -6.428105,
-		"args":                             -4.818667,
-		"arguments":                        -6.428105,
-		"attr.label":                       -6.428105,
-		"attr.label_list":                  -6.428105,
-		"attr.string":                      -5.041811,
-		"attrs":                            -6.428105,
-		"closure_js_binary":                -6.428105,
-		"closure_library":                  -6.428105,
-		"commit":                           -6.428105,
-		"compilation_level":                -6.428105,
-		"context":                          -6.428105,
-		"ctx":                              -6.428105,
-		"ctx.action":                       -6.428105,
-		"ctx.attr.compilation_level":       -5.734958,
-		"ctx.attr.deps":                    -6.428105,
-		"ctx.attr.language_in":             -5.734958,
-		"ctx.attr.language_out":            -5.734958,
-		"ctx.executable._closure_compiler": -6.428105,
-		"ctx.outputs.out":                  -5.734958,
-		"def":                              -6.428105,
-		"default":                          -4.818667,
-		"default_visibility":               -5.734958,
-		"dep":                              -6.428105,
-		"dep.transitive_js_externs":        -6.428105,
-		"dep.transitive_js_srcs":           -6.428105,
-		"docs":                             -5.734958,
-		"ecmascript":                       -5.734958,
-		"else":                             -5.329493,
-		"exclude":                          -5.734958,
-		"executable":                       -5.734958,
-		"externs":                          -5.329493,
-		"fail":                             -5.329493,
-		"filegroup":                        -4.636346,
-		"files":                            -5.329493,
-		"for":                              -6.428105,
-		"gerrit_war":                       -4.230881,
-		"git_repository":                   -6.428105,
-		"glob":                             -5.041811,
-		"go_prefix":                        -5.734958,
-		"hello":                            -6.428105,
-		"hello_lib":                        -6.428105,
-		"if":                               -5.329493,
-		"implementation":                   -6.428105,
-		"in":                               -5.041811,
-		"include_defs":                     -6.428105,
-		"inputs":                           -6.428105,
-		"list":                             -5.734958,
-		"load":                             -4.818667,
-		"main":                             -6.428105,
-		"name":                             -3.483666,
-		"order":                            -5.734958,
-		"outputs":                          -5.734958,
-		"package":                          -5.734958,
-		"pkg_tar":                          -5.734958,
-		"providers":                        -6.428105,
-		"remote":                           -6.428105,
-		"return":                           -6.428105,
-		"rule":                             -6.428105,
-		"scala_repositories":               -6.428105,
-		"set":                              -5.329493,
-		"simple":                           -6.428105,
-		"srcs":                             -4.348664,
-		"strip_prefix":                     -5.734958,
-		"struct":                           -6.428105,
-		"ui":                               -4.482195,
-		"visibility":                       -4.482195,
-		"zip_file":                         -6.428105,
-		"{":                                -5.041811,
-		"}":                                -5.041811,
+		"(": -2.425607,
+		")": -2.501114,
+		"+": -4.035045,
+		",": -1.750809,
+		"//third_party/javascript/google_cast/cast.js": -6.432940,
+		":":                                -2.967204,
+		"=":                                -2.038491,
+		"@closure_library//":               -6.432940,
+		"API_DEPS":                         -5.739793,
+		"False":                            -6.432940,
+		"Label":                            -6.432940,
+		"None":                             -6.432940,
+		"True":                             -5.334328,
+		"[":                                -2.626278,
+		"]":                                -2.671740,
+		"_COMPILATION_LEVELS":              -5.334328,
+		"_SUPPORTED_LANGUAGES":             -4.823502,
+		"_impl":                            -5.739793,
+		"allow_files":                      -6.432940,
+		"args":                             -4.823502,
+		"arguments":                        -6.432940,
+		"attr.label":                       -6.432940,
+		"attr.label_list":                  -6.432940,
+		"attr.string":                      -5.046646,
+		"attrs":                            -6.432940,
+		"closure_js_binary":                -6.432940,
+		"closure_library":                  -6.432940,
+		"commit":                           -6.432940,
+		"compilation_level":                -6.432940,
+		"context":                          -6.432940,
+		"ctx":                              -6.432940,
+		"ctx.action":                       -6.432940,
+		"ctx.attr.compilation_level":       -5.739793,
+		"ctx.attr.deps":                    -6.432940,
+		"ctx.attr.language_in":             -5.739793,
+		"ctx.attr.language_out":            -5.739793,
+		"ctx.executable._closure_compiler": -6.432940,
+		"ctx.outputs.out":                  -5.739793,
+		"def":                              -6.432940,
+		"default":                          -4.823502,
+		"default_visibility":               -5.739793,
+		"dep":                              -6.432940,
+		"dep.transitive_js_externs":        -6.432940,
+		"dep.transitive_js_srcs":           -6.432940,
+		"docs":                             -5.739793,
+		"ecmascript":                       -5.739793,
+		"else":                             -5.334328,
+		"exclude":                          -5.739793,
+		"executable":                       -5.739793,
+		"externs":                          -5.334328,
+		"fail":                             -5.334328,
+		"filegroup":                        -4.641181,
+		"files":                            -5.334328,
+		"for":                              -6.432940,
+		"gerrit_war":                       -4.235716,
+		"git_repository":                   -6.432940,
+		"glob":                             -5.046646,
+		"go_prefix":                        -5.739793,
+		"hello":                            -6.432940,
+		"hello_lib":                        -6.432940,
+		"if":                               -5.334328,
+		"implementation":                   -6.432940,
+		"in":                               -5.046646,
+		"include":                          -6.432940,
+		"include_defs":                     -6.432940,
+		"inputs":                           -6.432940,
+		"list":                             -5.739793,
+		"load":                             -4.823502,
+		"main":                             -6.432940,
+		"name":                             -3.488501,
+		"order":                            -5.739793,
+		"outputs":                          -5.739793,
+		"package":                          -5.739793,
+		"pkg_tar":                          -5.739793,
+		"providers":                        -6.432940,
+		"remote":                           -6.432940,
+		"return":                           -6.432940,
+		"rule":                             -6.432940,
+		"scala_repositories":               -6.432940,
+		"set":                              -5.334328,
+		"simple":                           -6.432940,
+		"srcs":                             -4.353499,
+		"strip_prefix":                     -5.739793,
+		"struct":                           -6.432940,
+		"ui":                               -4.487030,
+		"visibility":                       -4.487030,
+		"zip_file":                         -6.432940,
+		"{":                                -5.046646,
+		"}":                                -5.046646,
 	},
 	"Stata": map[string]float64{
 		"!":                     -7.058471,
@@ -153244,27 +158668,208 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":              -5.671604,
 	},
 	"TOML": map[string]float64{
-		",":            -2.242687,
-		"-":            -4.905275,
-		"=":            -1.307963,
-		"[":            -1.814232,
-		"]":            -1.814232,
-		"analyzer":     -6.003887,
-		"branch":       -6.003887,
-		"dependencies": -3.295837,
-		"digest":       -6.697034,
-		"inputs":       -6.697034,
-		"meta":         -6.697034,
-		"metadata":     -6.697034,
-		"name":         -2.765209,
-		"package":      -2.846887,
-		"packages":     -6.003887,
-		"projects":     -6.003887,
-		"revision":     -6.003887,
-		"solve":        -6.697034,
-		"solver":       -6.003887,
-		"source":       -2.983462,
-		"version":      -2.805214,
+		"\"":                                  -9.072112,
+		",":                                   -2.116520,
+		"-":                                   -3.930449,
+		"=":                                   -1.366399,
+		"Jinja":                               -8.378965,
+		"Markdown":                            -7.462674,
+		"MarkupSafe":                          -8.378965,
+		"PyYAML":                              -8.378965,
+		"Windows":                             -9.072112,
+		"[":                                   -2.513914,
+		"\\":                                  -3.660466,
+		"]":                                   -2.515334,
+		"all":                                 -8.378965,
+		"analyzer":                            -8.378965,
+		"appdirs":                             -7.973500,
+		"ast":                                 -9.072112,
+		"atomicwrites":                        -7.973500,
+		"attrs":                               -7.462674,
+		"azure":                               -9.072112,
+		"black":                               -9.072112,
+		"branch":                              -8.378965,
+		"brotli":                              -9.072112,
+		"build":                               -9.072112,
+		"cachecontrol":                        -9.072112,
+		"cachy":                               -9.072112,
+		"category":                            -4.227925,
+		"certifi":                             -7.973500,
+		"cffi":                                -7.973500,
+		"cfgv":                                -7.973500,
+		"chardet":                             -7.685818,
+		"checkqa":                             -9.072112,
+		"cleo":                                -9.072112,
+		"click":                               -7.462674,
+		"clikit":                              -8.378965,
+		"colorama":                            -7.973500,
+		"commit":                              -9.072112,
+		"configparser":                        -9.072112,
+		"content":                             -9.072112,
+		"contextlib":                          -9.072112,
+		"corenlp":                             -9.072112,
+		"cov":                                 -9.072112,
+		"coverage":                            -7.973500,
+		"cryptography":                        -7.973500,
+		"ctypes":                              -7.973500,
+		"d":                                   -9.072112,
+		"data":                                -9.072112,
+		"datrie":                              -9.072112,
+		"dbus":                                -9.072112,
+		"dependencies":                        -5.670915,
+		"description":                         -4.227925,
+		"dev":                                 -7.462674,
+		"digest":                              -9.072112,
+		"dir":                                 -9.072112,
+		"distlib":                             -8.378965,
+		"docs":                                -6.364062,
+		"docstest":                            -8.378965,
+		"entrypoints":                         -8.378965,
+		"enum":                                -9.072112,
+		"extensions":                          -8.378965,
+		"extras":                              -9.072112,
+		"false":                               -4.227925,
+		"file":                                -3.100850,
+		"filecache":                           -9.072112,
+		"filelock":                            -7.685818,
+		"format":                              -9.072112,
+		"format_nongpl":                       -9.072112,
+		"funcsigs":                            -9.072112,
+		"functools":                           -9.072112,
+		"future":                              -8.378965,
+		"futures":                             -9.072112,
+		"genshi":                              -9.072112,
+		"github":                              -9.072112,
+		"glob":                                -9.072112,
+		"hash":                                -3.100850,
+		"html":                                -9.072112,
+		"httpretty":                           -9.072112,
+		"i":                                   -8.378965,
+		"ib":                                  -9.072112,
+		"identify":                            -7.973500,
+		"idna":                                -7.462674,
+		"importlib":                           -7.973500,
+		"include":                             -8.378965,
+		"inputs":                              -9.072112,
+		"ipaddress":                           -9.072112,
+		"itertools":                           -7.685818,
+		"jeepney":                             -8.378965,
+		"jinja":                               -9.072112,
+		"joblib":                              -8.378965,
+		"jsonschema":                          -9.072112,
+		"keyring":                             -9.072112,
+		"languages":                           -9.072112,
+		"lexers":                              -9.072112,
+		"license":                             -9.072112,
+		"linux":                               -5.704816,
+		"livereload":                          -7.973500,
+		"lockfile":                            -9.072112,
+		"lunr":                                -9.072112,
+		"lxml":                                -9.072112,
+		"machine_learning":                    -9.072112,
+		"markdown":                            -7.973500,
+		"marker":                              -5.046761,
+		"markupsafe":                          -9.072112,
+		"memcached":                           -9.072112,
+		"meta":                                -9.072112,
+		"metadata":                            -6.433055,
+		"metadata.files":                      -9.072112,
+		"mkdocs":                              -9.072112,
+		"mock":                                -8.378965,
+		"more":                                -8.378965,
+		"msgpack":                             -7.973500,
+		"mypy":                                -9.072112,
+		"n":                                   -8.378965,
+		"name":                                -3.890329,
+		"nltk":                                -9.072112,
+		"nodeenv":                             -7.973500,
+		"optional":                            -4.212300,
+		"package":                             -3.913057,
+		"package.dependencies":                -4.994575,
+		"package.dependencies.":               -9.072112,
+		"package.dependencies.colorama":       -8.378965,
+		"package.dependencies.configparser":   -8.378965,
+		"package.dependencies.contextlib":     -7.973500,
+		"package.dependencies.enum":           -7.973500,
+		"package.dependencies.funcsigs":       -8.378965,
+		"package.dependencies.functools":      -8.378965,
+		"package.dependencies.futures":        -9.072112,
+		"package.dependencies.importlib":      -6.507163,
+		"package.dependencies.ipaddress":      -9.072112,
+		"package.dependencies.lockfile":       -9.072112,
+		"package.dependencies.lunr":           -9.072112,
+		"package.dependencies.mock":           -9.072112,
+		"package.dependencies.more":           -8.378965,
+		"package.dependencies.nltk":           -9.072112,
+		"package.dependencies.pathlib":        -7.973500,
+		"package.dependencies.scandir":        -9.072112,
+		"package.dependencies.secretstorage":  -9.072112,
+		"package.dependencies.singledispatch": -9.072112,
+		"package.dependencies.typing":         -7.462674,
+		"package.dependencies.zipp":           -9.072112,
+		"package.extras":                      -5.334443,
+		"packages":                            -8.378965,
+		"packaging":                           -7.462674,
+		"pastel":                              -8.378965,
+		"pathlib":                             -9.072112,
+		"pathspec":                            -8.378965,
+		"pep":                                 -7.685818,
+		"pexpect":                             -9.072112,
+		"pipelines":                           -9.072112,
+		"pkginfo":                             -9.072112,
+		"plot":                                -9.072112,
+		"pluggy":                              -7.462674,
+		"pre":                                 -9.072112,
+		"projects":                            -8.378965,
+		"ptyprocess":                          -8.378965,
+		"py":                                  -7.462674,
+		"pycparser":                           -8.378965,
+		"pygments":                            -7.973500,
+		"pylev":                               -8.378965,
+		"pymdown":                             -9.072112,
+		"pyparsing":                           -8.378965,
+		"pyrsistent":                          -8.378965,
+		"pytest":                              -6.992671,
+		"python":                              -3.907326,
+		"pywin":                               -7.973500,
+		"pyyaml":                              -7.685818,
+		"redis":                               -8.378965,
+		"regex":                               -7.973500,
+		"requests":                            -7.973500,
+		"resources":                           -7.685818,
+		"revision":                            -8.378965,
+		"secretstorage":                       -9.072112,
+		"secure":                              -8.378965,
+		"security":                            -8.378965,
+		"setuptools":                          -7.973500,
+		"six":                                 -6.076380,
+		"socks":                               -7.685818,
+		"solve":                               -9.072112,
+		"solver":                              -8.378965,
+		"source":                              -5.358540,
+		"sugar":                               -9.072112,
+		"termcolor":                           -9.072112,
+		"test":                                -7.462674,
+		"testing":                             -6.238899,
+		"tests":                               -9.072112,
+		"tgrep":                               -9.072112,
+		"toml":                                -7.280353,
+		"tornado":                             -7.973500,
+		"tqdm":                                -9.072112,
+		"true":                                -8.378965,
+		"twitter":                             -9.072112,
+		"typed":                               -9.072112,
+		"urllib":                              -8.378965,
+		"user":                                -9.072112,
+		"version":                             -3.660466,
+		"versions":                            -4.220082,
+		"virtualenv":                          -7.685818,
+		"wcwidth":                             -8.378965,
+		"webencodings":                        -9.072112,
+		"win":                                 -7.126202,
+		"zipp":                                -9.072112,
+		"{":                                   -3.100850,
+		"}":                                   -3.103405,
 	},
 	"TSQL": map[string]float64{
 		"(":                       -2.972743,
@@ -153342,6 +158947,34 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"nvarchar":                -5.370638,
 		"uniqueidentifier":        -4.677491,
 	},
+	"TSV": map[string]float64{
+		"-":      -1.658228,
+		"AABDD":  -3.737670,
+		"AADD":   -3.737670,
+		"ABC":    -3.737670,
+		"ABCD":   -3.737670,
+		"ABD":    -3.737670,
+		"BCD":    -3.737670,
+		"_alpha": -3.737670,
+		"_apha":  -3.044522,
+		"_num":   -2.128232,
+		"aabdd":  -3.737670,
+		"aadd":   -3.737670,
+		"abc":    -2.639057,
+		"abcd":   -3.737670,
+		"abd":    -3.737670,
+		"ad":     -3.737670,
+		"bcd":    -3.044522,
+		"bcdef":  -3.044522,
+		"bcf":    -3.737670,
+		"bd":     -3.737670,
+		"cc":     -3.737670,
+		"cde":    -3.737670,
+		"de":     -3.737670,
+		"def":    -3.737670,
+		"ghi":    -3.737670,
+		"line":   -3.737670,
+	},
 	"TSX": map[string]float64{
 		"!":                                  -5.851771,
 		"\"":                                 -8.847504,
@@ -156637,2571 +162270,2599 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"~":                                       -6.240276,
 	},
 	"Text": map[string]float64{
-		"!":                                      -5.756992,
-		"#":                                      -8.359681,
-		"#s/gammel/ny/g":                         -8.765146,
-		"$":                                      -6.750243,
-		"%":                                      -7.753546,
-		"&":                                      -9.458294,
-		"'":                                      -9.052828,
-		"(":                                      -5.424053,
-		")":                                      -5.202681,
-		"*":                                      -6.413771,
-		"**":                                     -5.347420,
-		"*/*":                                    -9.458294,
-		"*_beta*":                                -9.458294,
-		"+":                                      -9.458294,
-		",":                                      -4.087656,
-		"-":                                      -3.454407,
-		".":                                      -5.076267,
-		"/":                                      -7.666534,
-		"/.vimrc":                                -9.458294,
-		"//dev.mysql.com/downloads/connector/j/": -10.151441,
-		"//iccf":                                 -9.458294,
-		"//localhost/Users/hubery/Public/ucar/Document/Functions/Built":                            -10.151441,
-		"//localhost/Users/hubery/Public/ucar/Document/Functions/Contributed/rmMonAnnCycLLT.shtml": -10.151441,
-		"//localhost/Users/hubery/Public/ucar/Document/Functions/Contributed/zonalAve.shtml":       -10.151441,
-		"//www.debian.org/social_contract#guidelines":                                              -9.052828,
-		"/ignore":                          -8.359681,
-		":":                                -4.005112,
-		";":                                -7.207002,
-		"<":                                -7.848856,
-		"<ENTER>":                          -6.513855,
-		"<ESC>":                            -6.513855,
-		"<Help>":                           -9.458294,
-		"<TAB>":                            -7.848856,
-		"<name>":                           -10.151441,
-		"<one>":                            -10.151441,
-		"<signature>":                      -10.151441,
-		"<year>":                           -10.151441,
-		"=":                                -4.055616,
-		">":                                -5.202681,
-		"?":                                -8.205531,
-		"A":                                -7.443391,
-		"A.":                               -9.458294,
-		"ABC":                              -9.458294,
-		"ABOVE":                            -10.151441,
-		"ABSOLUTELY":                       -10.151441,
-		"ADD":                              -10.151441,
-		"ADVISED":                          -10.151441,
-		"AGREED":                           -10.151441,
-		"ALL":                              -10.151441,
-		"AND":                              -8.542003,
-		"AND/OR":                           -9.458294,
-		"ANGRE":                            -9.458294,
-		"ANNEN":                            -9.458294,
-		"ANTALL":                           -9.458294,
-		"ANY":                              -8.359681,
-		"APPLICABLE":                       -9.458294,
-		"ARISING":                          -10.151441,
-		"AS":                               -9.458294,
-		"ASCII":                            -10.151441,
-		"ASSUME":                           -10.151441,
-		"AV":                               -6.625080,
-		"AVSLUTTE":                         -9.458294,
-		"Activities":                       -10.151441,
-		"Administrative":                   -10.151441,
-		"Aeneas":                           -10.151441,
-		"All":                              -10.151441,
-		"Alle":                             -9.052828,
-		"Also":                             -9.052828,
-		"Altered":                          -10.151441,
-		"American":                         -10.151441,
-		"An":                               -9.458294,
-		"Apache":                           -8.765146,
-		"Apply":                            -10.151441,
-		"April":                            -10.151441,
-		"Arguments":                        -9.052828,
-		"Associates":                       -9.458294,
-		"Avslutt":                          -9.458294,
-		"BAKOVER":                          -9.458294,
-		"BBS.":                             -10.151441,
-		"BE":                               -10.151441,
-		"BECAUSE":                          -10.151441,
-		"BEEN":                             -10.151441,
-		"BEING":                            -10.151441,
-		"BEVEGELSE":                        -9.458294,
-		"BEVEGELSER":                       -9.458294,
-		"BOKSTAVER":                        -9.458294,
-		"BRUK":                             -8.359681,
-		"BRUKE":                            -9.458294,
-		"BUT":                              -9.458294,
-		"BY":                               -9.052828,
-		"Beatles":                          -10.151441,
-		"Bevegelsene":                      -9.458294,
-		"Blant":                            -9.458294,
-		"Bokstaven":                        -9.458294,
-		"Boston":                           -9.458294,
-		"Bram":                             -9.458294,
-		"Bruk":                             -7.512383,
-		"By":                               -10.151441,
-		"C":                                -8.542003,
-		"C.":                               -9.458294,
-		"CD":                               -10.151441,
-		"CGI":                              -10.151441,
-		"CHARGE":                           -10.151441,
-		"CONDITIONS":                       -9.458294,
-		"CONSEQUENTIAL":                    -10.151441,
-		"COPYING":                          -10.151441,
-		"COPYRIGHT":                        -9.052828,
-		"CORRECTION.":                      -10.151441,
-		"COST":                             -10.151441,
-		"CTRL":                             -6.540523,
-		"California.":                      -10.151441,
-		"Caps":                             -9.458294,
-		"Case":                             -9.458294,
-		"Charles":                          -9.458294,
-		"Climatology":                      -10.151441,
-		"Colorado":                         -8.765146,
-		"Company":                          -10.151441,
-		"Computes":                         -9.458294,
-		"Connector/J":                      -10.151441,
-		"Contact":                          -9.052828,
-		"Coon":                             -9.458294,
-		"Copyright":                        -8.765146,
-		"Cras":                             -10.151441,
-		"Ctrl":                             -8.359681,
-		"Cursus":                           -10.151441,
-		"D":                                -6.717454,
-		"DAMAGES":                          -9.458294,
-		"DAMAGES.":                         -10.151441,
-		"DATA":                             -9.458294,
-		"DE":                               -9.458294,
-		"DEFECTIVE":                        -10.151441,
-		"DISTRIBUTION":                     -10.151441,
-		"DOS":                              -8.765146,
-		"DOS.":                             -9.458294,
-		"Da":                               -8.765146,
-		"De":                               -9.458294,
-		"Debian":                           -7.848856,
-		"Debian.":                          -9.052828,
-		"Debians":                          -8.765146,
-		"Delete":                           -10.151441,
-		"Den":                              -7.666534,
-		"Denne":                            -7.512383,
-		"Der":                              -9.458294,
-		"Deretter":                         -8.359681,
-		"Description":                      -9.052828,
-		"Det":                              -6.654933,
-		"Dette":                            -6.717454,
-		"DipTrace":                         -10.151441,
-		"Disse":                            -8.542003,
-		"Documentation":                    -9.052828,
-		"Dokumentasjon":                    -10.151441,
-		"Donec":                            -10.151441,
-		"Druer":                            -9.458294,
-		"Du":                               -7.106918,
-		"Duis":                             -10.151441,
-		"E":                                -8.765146,
-		"EITHER":                           -10.151441,
-		"EKSTERN":                          -9.458294,
-		"ELLER":                            -9.458294,
-		"EN":                               -8.071999,
-		"END":                              -10.151441,
-		"ENTIRE":                           -10.151441,
-		"ERSTATT":                          -8.765146,
-		"ERSTATTE":                         -9.458294,
-		"ET":                               -9.458294,
-		"ETTER":                            -7.666534,
-		"EVEN":                             -10.151441,
-		"EVENT":                            -10.151441,
-		"EXCEPT":                           -10.151441,
-		"EXPRESSED":                        -10.151441,
-		"EXTENT":                           -10.151441,
-		"Each":                             -9.458294,
-		"Editor":                           -9.458294,
-		"Eller":                            -9.458294,
-		"En":                               -8.765146,
-		"Enter.":                           -9.458294,
-		"Erstatningsmodus":                 -9.458294,
-		"Erstatte":                         -8.071999,
-		"Et":                               -8.542003,
-		"Etiam":                            -10.151441,
-		"Etter":                            -8.071999,
-		"Etterhvert":                       -9.458294,
-		"Everyone":                         -10.151441,
-		"Example":                          -10.151441,
-		"Examples":                         -10.151441,
-		"Exp":                              -10.151441,
-		"F":                                -7.666534,
-		"FAILURE":                          -10.151441,
-		"FGHI":                             -9.458294,
-		"FIL":                              -8.765146,
-		"FILER":                            -8.765146,
-		"FILNAVN":                          -7.155709,
-		"FILNAVN.":                         -8.359681,
-		"FILSTATUS":                        -9.458294,
-		"FINN":                             -9.458294,
-		"FITNESS":                          -9.458294,
-		"FLERE":                            -8.765146,
-		"FLYTTING":                         -9.458294,
-		"FOR":                              -7.954216,
-		"FORANDRE":                         -9.458294,
-		"FORANDRINGER":                     -9.458294,
-		"FORKASTER":                        -9.458294,
-		"FRAMOVER":                         -9.458294,
-		"FREE":                             -10.151441,
-		"FULLF":                            -9.458294,
-		"FidoNet":                          -10.151441,
-		"Fifth":                            -9.458294,
-		"Filen":                            -9.458294,
-		"Fioler":                           -8.765146,
-		"Fjern":                            -9.458294,
-		"Floor":                            -9.458294,
-		"Flytt":                            -6.090998,
-		"For":                              -5.744722,
-		"Forandringskommandoen":            -9.458294,
-		"Forandringsoperatoren":            -8.765146,
-		"Foreldede":                        -10.151441,
-		"Formatet":                         -8.071999,
-		"Forskjellige":                     -10.151441,
-		"Foundation":                       -8.205531,
-		"Foundation.":                      -9.458294,
-		"Fra":                              -9.458294,
-		"Franklin":                         -9.458294,
-		"Free":                             -7.848856,
-		"Fri":                              -10.151441,
-		"Fullf":                            -8.765146,
-		"Functions":                        -9.052828,
-		"Fusce":                            -10.151441,
-		"G":                                -6.513855,
-		"G.":                               -8.765146,
-		"GENERAL":                          -9.052828,
-		"GNOME":                            -9.052828,
-		"GNU":                              -8.205531,
-		"GPL":                              -10.151441,
-		"General":                          -7.666534,
-		"Gj":                               -9.458294,
-		"Gnomovision":                      -9.052828,
-		"Guidelines":                       -10.151441,
-		"H":                                -10.151441,
-		"HAS":                              -10.151441,
-		"HENTING":                          -9.458294,
-		"HJELP":                            -9.458294,
-		"HOLDER":                           -9.458294,
-		"HOLDERS":                          -10.151441,
-		"HVORDAN":                          -9.458294,
-		"Hacker.":                          -10.151441,
-		"Headline":                         -10.151441,
-		"Helt":                             -9.458294,
-		"Henry":                            -10.151441,
-		"Hent":                             -9.458294,
-		"Her":                              -8.765146,
-		"Here":                             -10.151441,
-		"Hereinafter":                      -10.151441,
-		"Hessstennnn":                      -9.458294,
-		"Hesten":                           -9.458294,
-		"Hjelp":                            -9.458294,
-		"Hold":                             -8.359681,
-		"Holm.":                            -9.458294,
-		"Home":                             -9.052828,
-		"How":                              -10.151441,
-		"However":                          -10.151441,
-		"Husk":                             -8.765146,
-		"Hvis":                             -7.512383,
-		"I":                                -7.848856,
-		"IF":                               -10.151441,
-		"IGNORE":                           -9.458294,
-		"IKKE":                             -9.458294,
-		"IMPLIED":                          -9.458294,
-		"IN":                               -9.052828,
-		"INABILITY":                        -10.151441,
-		"INACCURATE":                       -10.151441,
-		"INCIDENTAL":                       -10.151441,
-		"INCLUDING":                        -9.052828,
-		"INF/lib":                          -10.151441,
-		"ING":                              -9.458294,
-		"INKLUDERT":                        -8.765146,
-		"INLIDARLite.ncl*":                 -10.151441,
-		"INN":                              -8.765146,
-		"IS":                               -9.052828,
-		"Id":                               -9.458294,
-		"If":                               -7.512383,
-		"Ignore":                           -8.765146,
-		"Ikke":                             -9.458294,
-		"Il":                               -10.151441,
-		"Improved":                         -9.458294,
-		"In":                               -10.151441,
-		"Inc.":                             -8.542003,
-		"Inneholder":                       -9.458294,
-		"Intelligens":                      -9.458294,
-		"It":                               -9.458294,
-		"JK":                               -9.458294,
-		"James":                            -10.151441,
-		"Jeg":                              -9.458294,
-		"June":                             -10.151441,
-		"Jusqu":                            -10.151441,
-		"K.":                               -9.458294,
-		"KDE":                              -9.052828,
-		"KEKOMMANDOEN":                     -9.458294,
-		"KIND":                             -10.151441,
-		"KOMMANDO":                         -9.458294,
-		"KOMMANDOEN":                       -7.666534,
-		"KOPIERE":                          -9.458294,
-		"Kan":                              -9.458294,
-		"Kanskje":                          -10.151441,
-		"Keep":                             -10.151441,
-		"KiWi":                             -10.151441,
-		"Klienter":                         -10.151441,
-		"Klokker":                          -9.458294,
-		"Kommandoen":                       -9.458294,
-		"Kommandoene":                      -9.458294,
-		"Kommandolinjefullf":               -9.458294,
-		"Kommandoskall":                    -10.151441,
-		"Kommunikasjon":                    -10.151441,
-		"Kontroller":                       -9.458294,
-		"LAG":                              -9.458294,
-		"LAGRES":                           -9.458294,
-		"LAGRING":                          -9.458294,
-		"LAW":                              -10.151441,
-		"LAW.":                             -10.151441,
-		"LEGG":                             -9.458294,
-		"LEKSJON":                          -7.378852,
-		"LIABLE":                           -10.151441,
-		"LICENSE":                          -9.458294,
-		"LICENSED":                         -10.151441,
-		"LIM":                              -9.458294,
-		"LIME":                             -9.458294,
-		"LIMITED":                          -9.458294,
-		"LINJE":                            -9.458294,
-		"LINJER":                           -9.458294,
-		"LMN":                              -9.458294,
-		"LNBoardOutline*":                  -10.151441,
-		"LOSS":                             -10.151441,
-		"LOSSES":                           -10.151441,
-		"Lacinia":                          -10.151441,
-		"Lag":                              -8.765146,
-		"Lagre":                            -8.765146,
-		"Lamb":                             -9.458294,
-		"Learning":                         -9.458294,
-		"Legg":                             -7.512383,
-		"Leksjon":                          -5.992558,
-		"Les":                              -8.071999,
-		"Lesser":                           -10.151441,
-		"Let":                              -9.458294,
-		"License":                          -7.261069,
-		"License.":                         -8.205531,
-		"Linda":                            -9.458294,
-		"Linux.":                           -9.458294,
-		"List":                             -9.458294,
-		"Lock":                             -9.458294,
-		"Lorem":                            -10.151441,
-		"M":                                -8.765146,
-		"MA":                               -9.458294,
-		"MARK":                             -8.765146,
-		"MAY":                              -10.151441,
-		"MER":                              -8.765146,
-		"MERCHANTABILITY":                  -9.458294,
-		"MERK":                             -6.567922,
-		"MIDI":                             -10.151441,
-		"MODIFICATION":                     -10.151441,
-		"MODIFY":                           -10.151441,
-		"MS":                               -8.359681,
-		"Malesuada":                        -10.151441,
-		"Mange":                            -9.458294,
-		"Many":                             -10.151441,
-		"Mark":                             -8.765146,
-		"Marmotta":                         -8.542003,
-		"Mauris":                           -10.151441,
-		"Med":                              -10.151441,
-		"Men":                              -9.458294,
-		"Merk":                             -7.015947,
-		"Metadata":                         -10.151441,
-		"Michael":                          -9.458294,
-		"Micro":                            -10.151441,
-		"Mines":                            -9.458294,
-		"Missing":                          -10.151441,
-		"Modifisert":                       -9.458294,
-		"Moolenaar.":                       -9.458294,
-		"Morbi":                            -9.458294,
-		"MySQL":                            -8.205531,
-		"MySQL.":                           -10.151441,
-		"N":                                -6.625080,
-		"NCL":                              -9.052828,
-		"NECESSARY":                        -10.151441,
-		"NED":                              -9.458294,
-		"NEDENFOR":                         -8.765146,
-		"NO":                               -8.765146,
-		"NOT":                              -9.458294,
-		"NSF":                              -9.052828,
-		"Neste":                            -9.458294,
-		"Nettlesere":                       -10.151441,
-		"Netus":                            -10.151441,
-		"New":                              -9.052828,
-		"Noen":                             -8.359681,
-		"Non":                              -10.151441,
-		"Nonetheless":                      -10.151441,
-		"Numerisk":                         -10.151441,
-		"O":                                -7.666534,
-		"OF":                               -7.753546,
-		"OG":                               -8.071999,
-		"OM":                               -8.765146,
-		"OP":                               -9.458294,
-		"OPERATE":                          -10.151441,
-		"OPERATOREN":                       -9.458294,
-		"OPERATORER":                       -9.458294,
-		"OPERERE":                          -9.458294,
-		"OPPSTARTSSKRIPT":                  -9.458294,
-		"OPPSUMMERING":                     -7.512383,
-		"OR":                               -7.753546,
-		"OTHER":                            -8.765146,
-		"OTHERWISE":                        -10.151441,
-		"OUT":                              -10.151441,
-		"OVER":                             -8.359681,
-		"Of":                               -10.151441,
-		"Og":                               -9.458294,
-		"OpenBSD":                          -10.151441,
-		"Operatoren":                       -9.458294,
-		"Opprett":                          -9.458294,
-		"Oualline":                         -9.458294,
-		"Our":                              -10.151441,
-		"Oversatt":                         -9.458294,
-		"P":                                -7.954216,
-		"PARENTESER":                       -9.458294,
-		"PARTICULAR":                       -9.458294,
-		"PARTIES":                          -9.458294,
-		"PARTY":                            -9.458294,
-		"PDA":                              -10.151441,
-		"PERFORMANCE":                      -10.151441,
-		"PERMITTED":                        -9.458294,
-		"PNE":                              -9.458294,
-		"POSISJONERING":                    -9.458294,
-		"POSSIBILITY":                      -10.151441,
-		"PPP":                              -10.151441,
-		"PROGRAM":                          -8.071999,
-		"PROGRAMS":                         -10.151441,
-		"PROVE":                            -10.151441,
-		"PROVIDE":                          -10.151441,
-		"PUBLIC":                           -9.458294,
-		"PURPOSE.":                         -9.458294,
-		"Pakkene":                          -8.071999,
-		"Pakker":                           -6.893344,
-		"Pakkesystemet":                    -9.458294,
-		"Palm":                             -10.151441,
-		"Pellentesque":                     -9.458294,
-		"Perl":                             -8.765146,
-		"Perl.":                            -10.151441,
-		"Permission":                       -10.151441,
-		"Phasellus":                        -10.151441,
-		"Pierce":                           -9.458294,
-		"Pilot":                            -10.151441,
-		"Piltastene":                       -9.458294,
-		"Plasser":                          -8.359681,
-		"Please":                           -9.458294,
-		"Policy":                           -9.052828,
-		"PostgreSQL":                       -10.151441,
-		"Pr":                               -8.359681,
-		"Praesent":                         -10.151441,
-		"Preamble":                         -10.151441,
-		"President":                        -10.151441,
-		"Privacy":                          -9.052828,
-		"Program":                          -7.318227,
-		"Program.":                         -10.151441,
-		"Programmer":                       -7.954216,
-		"Programs":                         -10.151441,
-		"Programvare":                      -9.458294,
-		"Prototype":                        -9.052828,
-		"Public":                           -7.848856,
-		"Python":                           -8.765146,
-		"Q":                                -9.458294,
-		"QUALITY":                          -10.151441,
-		"R":                                -7.666534,
-		"RE":                               -9.458294,
-		"README":                           -10.151441,
-		"REDIGERE":                         -9.458294,
-		"REDIGERING":                       -8.359681,
-		"REDISTRIBUTE":                     -10.151441,
-		"REN":                              -8.765146,
-		"RENDERED":                         -10.151441,
-		"REPAIR":                           -10.151441,
-		"REQUIRED":                         -10.151441,
-		"RING":                             -9.458294,
-		"RISK":                             -10.151441,
-		"RPM":                              -10.151441,
-		"RReparer":                         -9.458294,
-		"RS":                               -9.458294,
-		"Read":                             -9.458294,
-		"Regents":                          -10.151441,
-		"Reilly":                           -9.458294,
-		"Removes":                          -10.151441,
-		"Repeter":                          -7.060398,
-		"Return":                           -9.052828,
-		"Returns":                          -10.151441,
-		"Riders":                           -9.458294,
-		"Robert":                           -9.458294,
-		"Roser":                            -8.765146,
-		"Ruby":                             -10.151441,
-		"S":                                -8.765146,
-		"SAMMENSL":                         -9.458294,
-		"SAMSVARENDE":                      -9.458294,
-		"SERVICING":                        -10.151441,
-		"SETT":                             -9.458294,
-		"SHOULD":                           -10.151441,
-		"SKAL":                             -9.458294,
-		"SLETTE":                           -9.458294,
-		"SLETTEKOMMANDOER":                 -8.765146,
-		"SOM":                              -9.458294,
-		"SPECIAL":                          -10.151441,
-		"STATED":                           -10.151441,
-		"STORE":                            -9.458294,
-		"SUCH":                             -9.458294,
-		"SUSTAINED":                        -10.151441,
-		"Samling":                          -10.151441,
-		"School":                           -9.458294,
-		"Se":                               -8.765146,
-		"Sed":                              -10.151441,
-		"See":                              -8.765146,
-		"Seksjonen":                        -10.151441,
-		"Selve":                            -10.151441,
-		"Sett":                             -7.848856,
-		"Should":                           -10.151441,
-		"Since":                            -9.458294,
-		"Skriv":                            -5.794732,
-		"Skrivebordssystemet":              -9.458294,
-		"Skriveprogram":                    -10.151441,
-		"Sl":                               -9.458294,
-		"Slett":                            -9.458294,
-		"Slutten":                          -8.765146,
-		"Smith":                            -9.458294,
-		"Software":                         -7.848856,
-		"Som":                              -9.458294,
-		"Spencer.":                         -10.151441,
-		"Spesielt":                         -9.458294,
-		"Spill":                            -10.151441,
-		"Sponsored":                        -9.052828,
-		"Start":                            -8.765146,
-		"State":                            -9.458294,
-		"Steve":                            -9.458294,
-		"Store":                            -10.151441,
-		"Street":                           -9.458294,
-		"Such":                             -10.151441,
-		"TE":                               -9.458294,
-		"TEKST":                            -7.848856,
-		"TELLER":                           -9.458294,
-		"TERMS":                            -9.458294,
-		"TEST":                             -6.893344,
-		"TEST.":                            -9.458294,
-		"THE":                              -7.378852,
-		"THERE":                            -10.151441,
-		"THE_TITLE":                        -9.052828,
-		"THE_URL":                          -9.052828,
-		"THIRD":                            -10.151441,
-		"TIL":                              -9.458294,
-		"TO":                               -8.071999,
-		"TOMCAT_HOME/lib":                  -10.151441,
-		"TOMCAT_HOME/webapps/marmotta/WEB": -10.151441,
-		"TUV":                              -9.458294,
-		"TeX":                              -8.205531,
-		"TeX.":                             -10.151441,
-		"Tekstverkt":                       -10.151441,
-		"Telegraph":                        -10.151441,
-		"Telephone":                        -10.151441,
-		"Terms":                            -8.765146,
-		"Test":                             -10.151441,
-		"The":                              -7.666534,
-		"Thermal":                          -10.151441,
-		"These":                            -10.151441,
-		"This":                             -7.666534,
-		"Tiden":                            -9.458294,
-		"Tips":                             -9.458294,
-		"Tivo.":                            -10.151441,
-		"To":                               -9.458294,
-		"Triple":                           -10.151441,
-		"Trykk":                            -5.432942,
-		"Ty":                               -9.458294,
-		"Type":                             -8.765146,
-		"U":                                -7.848856,
-		"UCAR":                             -9.052828,
-		"UNLESS":                           -10.151441,
-		"UNNTATT":                          -9.458294,
-		"USA":                              -8.542003,
-		"USA.":                             -10.151441,
-		"USE":                              -9.458294,
-		"UTF":                              -9.458294,
-		"University":                       -10.151441,
-		"University.":                      -9.458294,
-		"Unix":                             -8.205531,
-		"Use":                              -9.052828,
-		"Usenet":                           -10.151441,
-		"Usenet.":                          -10.151441,
-		"Ut":                               -10.151441,
-		"Utf":                              -9.458294,
-		"Utgiver":                          -8.765146,
-		"Utviklingsfiler":                  -10.151441,
-		"V":                                -8.071999,
-		"VALG":                             -9.458294,
-		"VED":                              -9.458294,
-		"VELGE":                            -9.458294,
-		"VIM":                              -9.458294,
-		"VIM/_vimrc":                       -9.458294,
-		"VIMRUNTIME/vimrc_example.vim":     -9.458294,
-		"Vanlige":                          -10.151441,
-		"Ved":                              -7.512383,
-		"Velg":                             -9.458294,
-		"Verkt":                            -9.052828,
-		"Version":                          -10.151441,
-		"Vi":                               -7.378852,
-		"Vi.":                              -9.458294,
-		"Vice":                             -10.151441,
-		"Vim":                              -6.280240,
-		"Vim.":                             -8.359681,
-		"Vindussystemet":                   -10.151441,
-		"Virtuelle":                        -10.151441,
-		"Vivre":                            -10.151441,
-		"W":                                -8.071999,
-		"WARRANTIES":                       -10.151441,
-		"WARRANTY":                         -8.542003,
-		"WHEN":                             -10.151441,
-		"WHO":                              -10.151441,
-		"WILL":                             -10.151441,
-		"WITH":                             -9.458294,
-		"WITHOUT":                          -9.458294,
-		"WRITING":                          -9.458294,
-		"Ware":                             -9.458294,
-		"Web":                              -10.151441,
-		"Webmaster":                        -9.052828,
-		"Whether":                          -10.151441,
-		"Windows":                          -9.458294,
-		"X":                                -7.318227,
-		"Y":                                -7.666534,
-		"YOU":                              -9.052828,
-		"YOU.":                             -10.151441,
-		"Ymse":                             -10.151441,
-		"You":                              -8.765146,
-		"Your":                             -10.151441,
-		"Yoyodyne":                         -10.151441,
-		"Z":                                -9.458294,
-		"[":                                -7.207002,
-		"\\":                               -6.008306,
-		"]":                                -7.318227,
-		"^":                                -9.458294,
-		"_AT_":                             -9.458294,
-		"_p":                               -9.052828,
-		"`":                                -8.765146,
-		"a":                                -6.200197,
-		"a.":                               -10.151441,
-		"able":                             -10.151441,
-		"ac":                               -9.052828,
-		"accumsan":                         -9.458294,
-		"achieve":                          -10.151441,
-		"act":                              -10.151441,
-		"add":                              -9.458294,
-		"address":                          -10.151441,
-		"addressed":                        -10.151441,
-		"adipiscing":                       -10.151441,
-		"admin":                            -10.151441,
-		"administrative":                   -10.151441,
-		"advanced":                         -10.151441,
-		"agreement":                        -10.151441,
-		"agurk":                            -9.458294,
-		"akkurat":                          -8.765146,
-		"aktiv":                            -9.458294,
-		"alcool.":                          -10.151441,
-		"algebra":                          -10.151441,
-		"algoritmer.":                      -10.151441,
-		"alien":                            -9.458294,
-		"all":                              -8.542003,
-		"all.":                             -9.458294,
-		"alle":                             -6.685705,
-		"allegation":                       -10.151441,
-		"allerede":                         -8.542003,
-		"allowed":                          -10.151441,
-		"allowed.":                         -10.151441,
-		"alltid":                           -9.458294,
-		"along":                            -10.151441,
-		"also":                             -10.151441,
-		"alt":                              -8.071999,
-		"alter":                            -9.458294,
-		"altered.":                         -10.151441,
-		"alternative":                      -10.151441,
-		"alts":                             -9.458294,
-		"amet":                             -10.151441,
-		"among":                            -10.151441,
-		"an":                               -8.542003,
-		"analyse":                          -10.151441,
-		"anbefales":                        -8.765146,
-		"and":                              -6.540523,
-		"and/or":                           -8.765146,
-		"andre":                            -6.625080,
-		"andre.":                           -8.359681,
-		"andreosfs":                        -10.151441,
-		"angre":                            -7.848856,
-		"angre.":                           -9.458294,
-		"angrekommandoene":                 -9.458294,
-		"angringen":                        -9.458294,
-		"annen":                            -8.359681,
-		"annet":                            -7.954216,
-		"annual":                           -9.458294,
-		"another":                          -10.151441,
-		"antall":                           -7.848856,
-		"any":                              -7.106918,
-		"anyone":                           -10.151441,
-		"appear":                           -9.458294,
-		"application":                      -8.765146,
-		"applications":                     -10.151441,
-		"applied":                          -9.458294,
-		"applies":                          -9.052828,
-		"apply":                            -9.458294,
-		"appropriate":                      -10.151441,
-		"apps":                             -9.458294,
-		"apps/sed":                         -8.071999,
-		"arbeid":                           -10.151441,
-		"arbeide.":                         -10.151441,
-		"arcu":                             -10.151441,
-		"are":                              -7.666534,
-		"arise":                            -10.151441,
-		"arkivene.":                        -10.151441,
-		"array":                            -8.071999,
-		"array.":                           -9.458294,
-		"as":                               -7.512383,
-		"ask":                              -10.151441,
-		"assemblere":                       -10.151441,
-		"astronomi":                        -10.151441,
-		"at":                               -6.040567,
-		"attach":                           -9.458294,
-		"attribute":                        -10.151441,
-		"author":                           -8.765146,
-		"author/donor":                     -10.151441,
-		"authors":                          -10.151441,
-		"automatically":                    -10.151441,
-		"av":                               -4.883583,
-		"avbryter":                         -9.458294,
-		"average":                          -9.458294,
-		"avhenger":                         -8.765146,
-		"avhengig":                         -9.458294,
-		"avhengige":                        -9.458294,
-		"avluse":                           -10.151441,
-		"avlusingsverkt":                   -10.151441,
-		"avslutt":                          -9.458294,
-		"avslutte":                         -8.765146,
-		"avslutte.":                        -9.458294,
-		"avslutter":                        -9.458294,
-		"avsluttes":                        -9.458294,
-		"avsluttet":                        -9.458294,
-		"away":                             -10.151441,
-		"awful":                            -10.151441,
-		"b":                                -7.848856,
-		"b.":                               -10.151441,
-		"backend":                          -10.151441,
-		"backends":                         -10.151441,
-		"bakover":                          -9.458294,
-		"balance":                          -10.151441,
-		"ball":                             -10.151441,
-		"bare":                             -7.954216,
-		"base/kdelibs":                     -9.458294,
-		"based":                            -10.151441,
-		"baserte":                          -10.151441,
-		"basket":                           -10.151441,
-		"be":                               -7.155709,
-		"beaucoup":                         -10.151441,
-		"because":                          -10.151441,
-		"been":                             -10.151441,
-		"begynne":                          -9.458294,
-		"begynnelsen":                      -8.359681,
-		"beh":                              -10.151441,
-		"behersker":                        -9.458294,
-		"being":                            -10.151441,
-		"believed":                         -10.151441,
-		"below":                            -10.151441,
-		"beregnet":                         -8.765146,
-		"beslektede":                       -10.151441,
-		"best":                             -9.458294,
-		"beste":                            -9.458294,
-		"bestemt":                          -8.765146,
-		"betingelsene":                     -10.151441,
-		"betyr":                            -8.542003,
-		"bevege":                           -8.765146,
-		"bevegelse":                        -6.973387,
-		"bevegelse.":                       -9.458294,
-		"bevegelsen":                       -7.666534,
-		"bevegelser":                       -8.765146,
-		"beveger":                          -9.458294,
-		"beveges":                          -9.458294,
-		"bibliotek":                        -10.151441,
-		"biblioteker":                      -8.359681,
-		"bidrag":                           -10.151441,
-		"bien.":                            -10.151441,
-		"bil":                              -9.458294,
-		"bildebehandlingsprogrammer":       -10.151441,
-		"bildefiler":                       -10.151441,
-		"binaries":                         -10.151441,
-		"biologi":                          -10.151441,
-		"bl":                               -8.765146,
-		"blant":                            -10.151441,
-		"ble":                              -7.848856,
-		"bli":                              -8.359681,
-		"blir":                             -7.378852,
-		"blitt":                            -8.765146,
-		"bo":                               -10.151441,
-		"body":                             -10.151441,
-		"bok":                              -9.458294,
-		"boken":                            -8.359681,
-		"bokstaven":                        -9.458294,
-		"bokstaver":                        -8.359681,
-		"bokstaver.":                       -8.359681,
-		"bolk":                             -10.151441,
-		"bolk.":                            -10.151441,
-		"bolken":                           -6.513855,
-		"bolken.":                          -9.458294,
-		"both":                             -10.151441,
-		"br":                               -9.458294,
-		"brenne":                           -10.151441,
-		"brief":                            -10.151441,
-		"brrr":                             -9.458294,
-		"bruk":                             -7.378852,
-		"bruk.":                            -8.765146,
-		"bruke":                            -6.932565,
-		"bruken":                           -9.458294,
-		"bruker":                           -8.205531,
-		"brukere":                          -9.458294,
-		"brukes":                           -9.458294,
-		"bruksanvisningen":                 -9.458294,
-		"brukt":                            -8.542003,
-		"brukte.":                          -9.458294,
-		"buffer":                           -9.458294,
-		"bunnen":                           -7.848856,
-		"but":                              -9.052828,
-		"bware@mines.colorado.edu":         -9.458294,
-		"by":                               -6.932565,
-		"c":                                -7.015947,
-		"c_CTRL":                           -9.458294,
-		"ca.":                              -9.458294,
-		"called":                           -10.151441,
-		"can":                              -9.458294,
-		"cannot":                           -9.458294,
-		"carton.":                          -10.151441,
-		"case":                             -10.151441,
-		"cat":                              -9.458294,
-		"ce":                               -8.071999,
-		"certain":                          -9.458294,
-		"change":                           -9.052828,
-		"changing":                         -10.151441,
-		"chanson":                          -10.151441,
-		"choice.":                          -10.151441,
-		"choose":                           -10.151441,
-		"cibl":                             -10.151441,
-		"circumstance":                     -10.151441,
-		"circumstances.":                   -10.151441,
-		"claim":                            -10.151441,
-		"claims":                           -9.458294,
-		"clear":                            -10.151441,
-		"clicks":                           -10.151441,
-		"code":                             -10.151441,
-		"comes":                            -10.151441,
-		"commands":                         -9.458294,
-		"compilers":                        -10.151441,
-		"compliance":                       -10.151441,
-		"computer":                         -10.151441,
-		"computes":                         -10.151441,
-		"concerns.":                        -10.151441,
-		"conditions":                       -8.359681,
-		"connect":                          -10.151441,
-		"connector":                        -8.765146,
-		"consectetur":                      -9.458294,
-		"consequence":                      -9.052828,
-		"consequences":                     -10.151441,
-		"consider":                         -10.151441,
-		"consistent":                       -10.151441,
-		"constitute":                       -10.151441,
-		"contact":                          -10.151441,
-		"containing":                       -10.151441,
-		"contains":                         -9.458294,
-		"contents":                         -10.151441,
-		"contest":                          -10.151441,
-		"contradict":                       -10.151441,
-		"contrast":                         -10.151441,
-		"contributions":                    -10.151441,
-		"convallis":                        -10.151441,
-		"convey":                           -10.151441,
-		"copies":                           -9.052828,
-		"copy":                             -8.765146,
-		"copying":                          -9.458294,
-		"copyright":                        -8.765146,
-		"copyrighted":                      -9.458294,
-		"corer.":                           -10.151441,
-		"could":                            -9.458294,
-		"countries":                        -9.052828,
-		"course":                           -10.151441,
-		"court":                            -9.458294,
-		"covered":                          -9.458294,
-		"credits":                          -9.458294,
-		"cycle":                            -9.458294,
-		"d":                                -6.784145,
-		"da":                               -8.359681,
-		"danner":                           -9.458294,
-		"dans":                             -10.151441,
-		"data":                             -9.052828,
-		"data.":                            -10.151441,
-		"database":                         -10.151441,
-		"database.":                        -10.151441,
-		"datakraft":                        -10.151441,
-		"datasystemer":                     -10.151441,
-		"dd":                               -7.848856,
-		"de":                               -6.437869,
-		"decide":                           -10.151441,
-		"decision":                         -10.151441,
-		"dedisert":                         -9.458294,
-		"deen":                             -7.848856,
-		"deg":                              -6.654933,
-		"deg.":                             -9.458294,
-		"del":                              -7.954216,
-		"deler":                            -8.765146,
-		"delvis":                           -9.458294,
-		"delvise":                          -9.458294,
-		"dem":                              -7.207002,
-		"dem.":                             -10.151441,
-		"den":                              -5.283906,
-		"den.":                             -8.359681,
-		"denne":                            -6.040567,
-		"denne.":                           -8.765146,
-		"depends":                          -10.151441,
-		"der":                              -7.261069,
-		"der.":                             -8.765146,
-		"deretter":                         -7.261069,
-		"derfor":                           -9.458294,
-		"deriblant":                        -10.151441,
-		"derivative":                       -10.151441,
-		"derivatives":                      -10.151441,
-		"des":                              -10.151441,
-		"design":                           -10.151441,
-		"designed":                         -10.151441,
-		"det":                              -5.833953,
-		"det.":                             -8.071999,
-		"detail":                           -10.151441,
-		"details":                          -10.151441,
-		"details.":                         -9.458294,
-		"dette":                            -7.155709,
-		"dette.":                           -9.458294,
-		"develop":                          -10.151441,
-		"dfnne":                            -9.458294,
-		"diam":                             -9.052828,
-		"differ":                           -10.151441,
-		"different":                        -9.458294,
-		"digitalt":                         -10.151441,
-		"dignissim":                        -10.151441,
-		"dim_max":                          -10.151441,
-		"dim_max_n":                        -10.151441,
-		"dim_min":                          -10.151441,
-		"dim_min_n":                        -10.151441,
-		"dimension":                        -9.458294,
-		"dimension.":                       -10.151441,
-		"dimensional":                      -9.458294,
-		"dimensionality":                   -10.151441,
-		"dimensionality.":                  -10.151441,
-		"dimensioned":                      -10.151441,
-		"dimsizes":                         -10.151441,
-		"dine":                             -9.458294,
-		"dine.":                            -9.458294,
-		"dir":                              -7.378852,
-		"directly":                         -10.151441,
-		"directory":                        -9.458294,
-		"direkte":                          -9.052828,
-		"dis":                              -10.151441,
-		"disable":                          -6.513855,
-		"disclaims":                        -10.151441,
-		"disken":                           -9.458294,
-		"disketter":                        -10.151441,
-		"disse":                            -8.205531,
-		"distinguishing":                   -10.151441,
-		"distribuere":                      -10.151441,
-		"distribuerte":                     -10.151441,
-		"distribusjonen":                   -10.151441,
-		"distribute":                       -8.359681,
-		"distributed":                      -9.052828,
-		"distribution":                     -8.071999,
-		"dit":                              -9.052828,
-		"ditt":                             -9.052828,
-		"diverse":                          -10.151441,
-		"do":                               -9.052828,
-		"doc":                              -8.765146,
-		"document":                         -10.151441,
-		"documentation.":                   -9.458294,
-		"does":                             -9.052828,
-		"does.":                            -10.151441,
-		"dok":                              -10.151441,
-		"dokumentasjon":                    -10.151441,
-		"dokumenter":                       -9.458294,
-		"dokumenterer":                     -10.151441,
-		"dolor":                            -10.151441,
-		"download":                         -10.151441,
-		"downloading":                      -10.151441,
-		"drivere":                          -10.151441,
-		"du":                               -4.925694,
-		"dvendig":                          -10.151441,
-		"dvendig.":                         -9.458294,
-		"dvendige":                         -10.151441,
-		"dvendigvis":                       -10.151441,
-		"dw":                               -8.071999,
-		"e":                                -6.973387,
-		"e.g.":                             -9.458294,
-		"each":                             -9.052828,
-		"edit":                             -8.765146,
-		"editor":                           -8.765146,
-		"editoren":                         -8.071999,
-		"effectively":                      -10.151441,
-		"egenskap.":                        -10.151441,
-		"egestas":                          -10.151441,
-		"eget":                             -9.052828,
-		"egne":                             -10.151441,
-		"either":                           -8.359681,
-		"eksakt":                           -9.458294,
-		"eksempel":                         -7.512383,
-		"eksempelfilen":                    -9.458294,
-		"eksempelvis":                      -9.458294,
-		"eksempler":                        -8.765146,
-		"eksisterende":                     -8.765146,
-		"eksperimentering.":                -9.458294,
-		"eksperter":                        -10.151441,
-		"eksporteres":                      -10.151441,
-		"eksporteringsreglene":             -10.151441,
-		"eksportforbud.":                   -10.151441,
-		"ekstern":                          -8.071999,
-		"eksterne":                         -9.458294,
-		"eldre":                            -9.052828,
-		"electronic":                       -10.151441,
-		"eleifend":                         -10.151441,
-		"elektriske":                       -9.458294,
-		"elektronikk":                      -9.458294,
-		"elektronisk":                      -10.151441,
-		"elementet":                        -9.458294,
-		"elit":                             -10.151441,
-		"elit.":                            -10.151441,
-		"eller":                            -6.367251,
-		"else":                             -10.151441,
-		"employer":                         -10.151441,
-		"en":                               -4.914999,
-		"en.":                              -9.458294,
-		"enable":                           -6.513855,
-		"enda":                             -8.765146,
-		"enda.":                            -9.458294,
-		"ende":                             -8.765146,
-		"endringene":                       -9.458294,
-		"endringer":                        -9.052828,
-		"endringer.":                       -9.458294,
-		"eneste":                           -9.458294,
-		"enforcing":                        -10.151441,
-		"engelsk":                          -9.458294,
-		"enheter":                          -10.151441,
-		"enim.":                            -10.151441,
-		"enkel":                            -9.458294,
-		"enn":                              -7.753546,
-		"enten":                            -9.458294,
-		"entirely":                         -10.151441,
-		"eple":                             -9.458294,
-		"epost":                            -10.151441,
-		"eposten":                          -10.151441,
-		"epostlesere":                      -10.151441,
-		"epostlister":                      -10.151441,
-		"epostmeldinger":                   -10.151441,
-		"er":                               -4.726491,
-		"eros":                             -10.151441,
-		"erssstatt":                        -9.458294,
-		"erstatning":                       -8.359681,
-		"erstatningen":                     -9.458294,
-		"erstatningsmodus":                 -9.458294,
-		"erstatningsmodusen.":              -9.458294,
-		"erstatte":                         -7.512383,
-		"erstatter":                        -8.359681,
-		"erstattes":                        -9.458294,
-		"est":                              -9.458294,
-		"et":                               -6.008306,
-		"ett":                              -8.765146,
-		"etter":                            -6.932565,
-		"etter.":                           -8.765146,
-		"etterf":                           -9.458294,
-		"etterfulgt":                       -8.071999,
-		"etterlikner":                      -9.458294,
-		"eu":                               -10.151441,
-		"even":                             -9.052828,
-		"ever":                             -9.052828,
-		"everyone":                         -9.458294,
-		"example":                          -10.151441,
-		"exceptions":                       -10.151441,
-		"excluded.":                        -10.151441,
-		"excluding":                        -10.151441,
-		"exclusion":                        -10.151441,
-		"excuse":                           -10.151441,
-		"exercise":                         -10.151441,
-		"explicit":                         -9.458294,
-		"f":                                -5.516712,
-		"f.eks":                            -10.151441,
-		"f.eks.":                           -9.458294,
-		"faksmodem":                        -10.151441,
-		"faksmodemer":                      -10.151441,
-		"faller":                           -10.151441,
-		"fames":                            -10.151441,
-		"fant":                             -9.458294,
-		"favorittvalgene":                  -9.458294,
-		"feeeiil":                          -8.359681,
-		"feiilene":                         -9.458294,
-		"feil":                             -7.954216,
-		"feil.":                            -9.458294,
-		"feile":                            -9.458294,
-		"feilen.":                          -8.359681,
-		"feilene":                          -8.765146,
-		"feils":                            -9.458294,
-		"feite":                            -9.458294,
-		"felis":                            -9.458294,
-		"felis.":                           -10.151441,
-		"felles":                           -10.151441,
-		"femte":                            -9.458294,
-		"ferd":                             -10.151441,
-		"feugiat":                          -10.151441,
-		"feugiat.":                         -10.151441,
-		"few":                              -9.458294,
-		"fikse":                            -9.458294,
-		"fikset":                           -9.458294,
-		"fil":                              -7.666534,
-		"fil.":                             -8.765146,
-		"fila":                             -9.458294,
-		"file":                             -8.359681,
-		"filen":                            -6.513855,
-		"filen.":                           -7.378852,
-		"filene":                           -8.359681,
-		"filer":                            -8.765146,
-		"filformater":                      -10.151441,
-		"filnavn":                          -8.359681,
-		"filnavnet":                        -8.765146,
-		"filstatusen.":                     -8.765146,
-		"filsystem":                        -10.151441,
-		"filter":                           -10.151441,
-		"fingrene.":                        -9.458294,
-		"finne":                            -7.954216,
-		"finner":                           -8.359681,
-		"finnes":                           -8.542003,
-		"finnes.":                          -10.151441,
-		"fins":                             -9.458294,
-		"fjerde":                           -9.458294,
-		"fjerne":                           -9.458294,
-		"flagget":                          -9.458294,
-		"flaws":                            -10.151441,
-		"flere":                            -7.954216,
-		"fleste":                           -10.151441,
-		"flesteparten":                     -9.458294,
-		"flette":                           -10.151441,
-		"flytt":                            -7.848856,
-		"flytte":                           -7.666534,
-		"flytter":                          -8.542003,
-		"flyttes":                          -9.458294,
-		"flyttet":                          -10.151441,
-		"follow.":                          -10.151441,
-		"following":                        -9.052828,
-		"foo":                              -10.151441,
-		"for":                              -4.343298,
-		"foran":                            -8.359681,
-		"forandre":                         -7.666534,
-		"forandrer":                        -8.765146,
-		"forandres":                        -9.458294,
-		"forandres.":                       -8.765146,
-		"forandringene":                    -8.765146,
-		"forandringene.":                   -9.458294,
-		"forandringer":                     -8.359681,
-		"forandringskommando":              -9.458294,
-		"forblir":                          -9.458294,
-		"fordi":                            -10.151441,
-		"foreg":                            -8.765146,
-		"foreign":                          -10.151441,
-		"forekomst":                        -8.765146,
-		"forekomsten":                      -9.458294,
-		"forekomster":                      -8.071999,
-		"foreldede":                        -10.151441,
-		"foretrukne":                       -9.458294,
-		"forkaste":                         -9.458294,
-		"forkaster":                        -9.458294,
-		"forklart":                         -9.458294,
-		"forkw":                            -9.458294,
-		"form":                             -9.458294,
-		"format":                           -8.765146,
-		"format.":                          -10.151441,
-		"formatere":                        -10.151441,
-		"forsikre":                         -9.458294,
-		"forskjellen":                      -9.458294,
-		"forskjellig":                      -10.151441,
-		"forskjellige":                     -9.052828,
-		"forskjelling":                     -9.458294,
-		"forst":                            -8.765146,
-		"forsvinne.":                       -9.458294,
-		"fortsatt":                         -10.151441,
-		"fortsette":                        -9.458294,
-		"found.":                           -10.151441,
-		"fra":                              -6.685705,
-		"fram":                             -9.052828,
-		"framover":                         -9.458294,
-		"framover.":                        -8.765146,
-		"free":                             -7.753546,
-		"freedom":                          -9.458294,
-		"fremmede":                         -10.151441,
-		"fri":                              -8.205531,
-		"frie":                             -10.151441,
-		"fringilla":                        -9.458294,
-		"from":                             -7.954216,
-		"full":                             -10.151441,
-		"fullf":                            -7.848856,
-		"fullt":                            -9.458294,
-		"function":                         -8.359681,
-		"fungerer":                         -8.765146,
-		"funksjoner":                       -8.205531,
-		"funnet.":                          -9.458294,
-		"fut":                              -10.151441,
-		"fyller":                           -9.458294,
-		"g":                                -5.756992,
-		"gaaata.":                          -9.458294,
-		"gamle":                            -9.052828,
-		"gammel":                           -8.359681,
-		"gang":                             -8.071999,
-		"gang.":                            -9.458294,
-		"ganger":                           -8.359681,
-		"ganger.":                          -8.765146,
-		"ganske":                           -9.458294,
-		"gata.":                            -9.458294,
-		"generally.":                       -10.151441,
-		"generous":                         -10.151441,
-		"gentoo":                           -8.765146,
-		"geographical":                     -10.151441,
-		"get":                              -9.458294,
-		"gg":                               -8.765146,
-		"give":                             -10.151441,
-		"given":                            -10.151441,
-		"gj":                               -7.261069,
-		"gjennom":                          -8.071999,
-		"gjenopprette":                     -9.458294,
-		"gjenv":                            -9.458294,
-		"gjorde":                           -9.458294,
-		"gjorde.":                          -9.458294,
-		"gjort":                            -9.458294,
-		"gjort.":                           -9.458294,
-		"glem":                             -9.458294,
-		"glemme":                           -9.458294,
-		"global":                           -9.458294,
-		"glx":                              -10.151441,
-		"gnome":                            -9.052828,
-		"goals":                            -10.151441,
-		"god":                              -9.458294,
-		"godkjenne":                        -9.458294,
-		"grafikk":                          -10.151441,
-		"grafikk.":                         -10.151441,
-		"grafikkfiler":                     -10.151441,
-		"granted":                          -9.458294,
-		"greatest":                         -10.151441,
-		"green":                            -10.151441,
-		"grensesnitt":                      -9.458294,
-		"grunn":                            -8.765146,
-		"grunnpakka":                       -10.151441,
-		"grunnsystem":                      -9.458294,
-		"grupper.":                         -10.151441,
-		"guarantee":                        -10.151441,
-		"guided":                           -10.151441,
-		"h":                                -6.932565,
-		"ha":                               -8.359681,
-		"habitant":                         -10.151441,
-		"hadde":                            -8.765146,
-		"hakeparentesen.":                  -9.458294,
-		"hamradio":                         -10.151441,
-		"handler":                          -9.458294,
-		"har":                              -6.540523,
-		"has":                              -9.458294,
-		"have":                             -8.542003,
-		"having":                           -10.151441,
-		"he":                               -10.151441,
-		"hel":                              -8.359681,
-		"held":                             -10.151441,
-		"hele":                             -7.666534,
-		"help":                             -7.060398,
-		"helst":                            -8.765146,
-		"helt":                             -7.512383,
-		"hende":                            -10.151441,
-		"henger":                           -10.151441,
-		"henter":                           -8.765146,
-		"hentet":                           -9.458294,
-		"her":                              -8.765146,
-		"her.":                             -9.458294,
-		"hereby":                           -10.151441,
-		"herein.":                          -10.151441,
-		"herfra.":                          -9.458294,
-		"hj":                               -9.458294,
-		"hjelp":                            -7.848856,
-		"hjelpen":                          -9.458294,
-		"hjelper":                          -9.052828,
-		"hjelpesystem.":                    -9.458294,
-		"hjelpesystemet.":                  -9.458294,
-		"hjelpevindu.":                     -9.458294,
-		"hjelpevinduet":                    -9.458294,
-		"hjelpevinduet.":                   -8.765146,
-		"hjemme":                           -8.765146,
-		"hjkl":                             -8.765146,
-		"hls":                              -8.765146,
-		"hlsearch":                         -8.765146,
-		"hold":                             -9.458294,
-		"holder":                           -9.458294,
-		"holland.org/click":                -9.458294,
-		"hope":                             -10.151441,
-		"hoppe":                            -8.765146,
-		"hoved":                            -10.151441,
-		"hovedarkiv":                       -10.151441,
-		"hovedbolken.":                     -10.151441,
-		"how":                              -9.458294,
-		"html":                             -9.458294,
-		"http":                             -8.359681,
-		"huske":                            -8.765146,
-		"husker":                           -9.458294,
-		"hva":                              -7.318227,
-		"hver":                             -8.765146,
-		"hvert":                            -9.458294,
-		"hvilke":                           -9.458294,
-		"hvilken":                          -9.458294,
-		"hvis":                             -7.015947,
-		"hvor":                             -8.359681,
-		"hvordan":                          -8.359681,
-		"hypothetical":                     -10.151441,
-		"i":                                -4.868237,
-		"iaculis":                          -9.458294,
-		"ic":                               -8.359681,
-		"id":                               -9.052828,
-		"id.":                              -10.151441,
-		"idea":                             -10.151441,
-		"if":                               -7.848856,
-		"igjen":                            -8.071999,
-		"igjen.":                           -9.458294,
-		"ignore":                           -8.765146,
-		"ignorecase":                       -9.458294,
-		"ignored":                          -10.151441,
-		"ignorer":                          -9.458294,
-		"ignorere":                         -9.458294,
-		"ignorerer":                        -9.458294,
-		"ignorering":                       -9.458294,
-		"ii":                               -9.458294,
-		"ikke":                             -6.280240,
-		"ikke.":                            -9.458294,
-		"il":                               -9.052828,
-		"illustrasjoner.":                  -9.458294,
-		"im/empathy":                       -9.458294,
-		"implemented":                      -10.151441,
-		"implied":                          -10.151441,
-		"impose":                           -10.151441,
-		"imposed":                          -10.151441,
-		"in":                               -7.318227,
-		"in/min.shtml":                     -10.151441,
-		"included":                         -10.151441,
-		"including":                        -10.151441,
-		"incorporate":                      -10.151441,
-		"incorporates":                     -10.151441,
-		"incorporating":                    -10.151441,
-		"incsearch":                        -8.765146,
-		"independent":                      -10.151441,
-		"index":                            -9.458294,
-		"indirectly":                       -10.151441,
-		"induce":                           -10.151441,
-		"informasjon":                      -8.071999,
-		"information":                      -10.151441,
-		"infringe":                         -10.151441,
-		"infringement":                     -10.151441,
-		"ingen":                            -9.052828,
-		"injen":                            -9.458294,
-		"inn":                              -6.057096,
-		"inn.":                             -8.071999,
-		"inne":                             -9.458294,
-		"innebygd":                         -10.151441,
-		"innebygde":                        -8.765146,
-		"innebygget":                       -9.458294,
-		"inneholder":                       -7.954216,
-		"innf":                             -7.060398,
-		"innholdet":                        -9.458294,
-		"innsatt":                          -9.458294,
-		"innsettingsmodus":                 -9.458294,
-		"innsettingsmodus.":                -8.359681,
-		"innsettingsmodusen":               -9.458294,
-		"innsettingsmodusen.":              -8.765146,
-		"input":                            -8.765146,
-		"insert":                           -9.458294,
-		"insettingsmodus":                  -9.458294,
-		"installasjonen.":                  -10.151441,
-		"installere":                       -8.542003,
-		"installerer":                      -9.458294,
-		"installert":                       -10.151441,
-		"installert.":                      -10.151441,
-		"installing":                       -10.151441,
-		"instead":                          -10.151441,
-		"integrity":                        -10.151441,
-		"intended":                         -8.765146,
-		"interactive":                      -9.458294,
-		"interdum":                         -10.151441,
-		"interest":                         -10.151441,
-		"interested":                       -10.151441,
-		"interfaces":                       -10.151441,
-		"internettforbindelse":             -10.151441,
-		"interrupt.":                       -10.151441,
-		"into":                             -9.052828,
-		"intro":                            -9.458294,
-		"introduced":                       -10.151441,
-		"invalid":                          -10.151441,
-		"ipsum":                            -10.151441,
-		"is":                               -6.344778,
-		"issues":                           -10.151441,
-		"istedenfor":                       -8.765146,
-		"it":                               -7.106918,
-		"it.":                              -9.458294,
-		"items":                            -10.151441,
-		"its":                              -8.765146,
-		"j":                                -7.378852,
-		"java":                             -10.151441,
-		"jobba":                            -10.151441,
-		"jobbe":                            -10.151441,
-		"judgment":                         -10.151441,
-		"justo":                            -9.052828,
-		"justo.":                           -10.151441,
-		"k":                                -7.207002,
-		"kalkulatorer":                     -10.151441,
-		"kaller":                           -9.458294,
-		"kamera":                           -10.151441,
-		"kan":                              -6.437869,
-		"kaste":                            -9.458294,
-		"katalogen":                        -8.765146,
-		"katalogen.":                       -8.359681,
-		"kategoriene.":                     -10.151441,
-		"kde":                              -8.542003,
-		"kekommando":                       -9.458294,
-		"kekommandoen":                     -9.458294,
-		"kene.":                            -10.151441,
-		"ker":                              -10.151441,
-		"kermit":                           -10.151441,
-		"kernel":                           -10.151441,
-		"kestreng":                         -9.458294,
-		"kestrengen":                       -9.458294,
-		"ket":                              -9.458294,
-		"ketekst":                          -8.359681,
-		"keteksten":                        -9.458294,
-		"ketreff":                          -9.458294,
-		"keys.":                            -10.151441,
-		"kildekode":                        -10.151441,
-		"king":                             -9.458294,
-		"kingen":                           -9.458294,
-		"kj":                               -7.848856,
-		"kjemi":                            -10.151441,
-		"klassifisere":                     -10.151441,
-		"klienter":                         -9.458294,
-		"know":                             -10.151441,
-		"knyttet":                          -10.151441,
-		"koble":                            -10.151441,
-		"kolon":                            -9.458294,
-		"kom":                              -9.458294,
-		"kombinasjonen":                    -9.458294,
-		"komfortabel":                      -9.458294,
-		"kommandio.":                       -9.458294,
-		"kommando":                         -7.512383,
-		"kommando.":                        -8.359681,
-		"kommandoen":                       -6.893344,
-		"kommandoen.":                      -7.848856,
-		"kommandoene":                      -8.071999,
-		"kommandoene.":                     -9.458294,
-		"kommandoer":                       -7.666534,
-		"kommandoer.":                      -8.071999,
-		"kommandolinja":                    -9.458294,
-		"kommandolinje.":                   -10.151441,
-		"kommandolinjekommando.":           -9.458294,
-		"kommandolinjen":                   -8.359681,
-		"kommandonavnet":                   -9.458294,
-		"komme":                            -8.359681,
-		"kommer":                           -9.458294,
-		"kommunikasjonsenheter":            -10.151441,
-		"kommunisere":                      -10.151441,
-		"kompatibel":                       -9.458294,
-		"kompilatorer":                     -10.151441,
-		"kompilerer":                       -9.458294,
-		"komplett":                         -9.458294,
-		"konsollmilj":                      -10.151441,
-		"kopi":                             -8.359681,
-		"kopier":                           -8.765146,
-		"kopiere":                          -8.765146,
-		"kopierer":                         -8.765146,
-		"korrekt":                          -9.458294,
-		"korrekt.":                         -9.458294,
-		"korrekte":                         -9.458294,
-		"kort":                             -9.458294,
-		"kraftig":                          -9.458294,
-		"kretser":                          -9.458294,
-		"krever":                           -10.151441,
-		"kreves":                           -9.458294,
-		"kryptografi":                      -10.151441,
-		"kryptografiske":                   -10.151441,
-		"kun":                              -8.765146,
-		"kunne":                            -8.542003,
-		"kuttes":                           -9.458294,
-		"kvalitet.":                        -10.151441,
-		"kzryas":                           -9.458294,
-		"l":                                -6.717454,
-		"l.":                               -9.458294,
-		"la":                               -8.765146,
-		"lacinia":                          -10.151441,
-		"lacus":                            -10.151441,
-		"lage":                             -8.205531,
-		"laget":                            -8.542003,
-		"laget.":                           -10.151441,
-		"lagre":                            -7.666534,
-		"lagrer":                           -8.359681,
-		"lagres":                           -10.151441,
-		"lagret":                           -9.052828,
-		"lagt":                             -9.458294,
-		"langt":                            -9.458294,
-		"language.":                        -10.151441,
-		"lar":                              -7.753546,
-		"lat":                              -10.151441,
-		"later":                            -9.458294,
-		"lavniv":                           -10.151441,
-		"law":                              -10.151441,
-		"le":                               -9.458294,
-		"least":                            -9.458294,
-		"leg":                              -9.458294,
-		"legal":                            -10.151441,
-		"legg":                             -8.359681,
-		"legge":                            -6.973387,
-		"legger":                           -8.359681,
-		"legges":                           -8.765146,
-		"leket":                            -10.151441,
-		"leksjon":                          -6.750243,
-		"leksjon.":                         -8.359681,
-		"leksjonen":                        -8.071999,
-		"leksjonene":                       -9.458294,
-		"leksjonene.":                      -9.458294,
-		"leksjoner":                        -9.458294,
-		"lengre":                           -9.458294,
-		"lengst":                           -9.458294,
-		"leo":                              -10.151441,
-		"ler":                              -8.359681,
-		"les":                              -8.765146,
-		"lesbar.":                          -9.458294,
-		"lese":                             -8.205531,
-		"leseprogrammer":                   -10.151441,
-		"leser":                            -8.359681,
-		"lesing":                           -9.458294,
-		"lest":                             -9.458294,
-		"lete":                             -7.512383,
-		"lett":                             -9.052828,
-		"lettbrukt":                        -9.458294,
-		"lette":                            -10.151441,
-		"lettere":                          -9.458294,
-		"lge.":                             -9.458294,
-		"lgende":                           -9.458294,
-		"lger.":                            -9.458294,
-		"li":                               -8.765146,
-		"libdevel":                         -10.151441,
-		"libero.":                          -10.151441,
-		"library":                          -8.765146,
-		"library.":                         -10.151441,
-		"libs":                             -9.458294,
-		"libs/gtk":                         -9.458294,
-		"libs/libgd":                       -7.443391,
-		"libs/qt":                          -9.458294,
-		"libs/zlib":                        -8.359681,
-		"license":                          -8.765146,
-		"license.":                         -10.151441,
-		"licensed":                         -9.458294,
-		"licensee":                         -9.458294,
-		"licenses":                         -10.151441,
-		"life.vim":                         -10.151441,
-		"ligger":                           -10.151441,
-		"lignende":                         -9.458294,
-		"ligula":                           -10.151441,
-		"lik":                              -7.378852,
-		"like":                             -8.542003,
-		"likes":                            -9.458294,
-		"likhet":                           -9.458294,
-		"liknende":                         -10.151441,
-		"lime":                             -8.359681,
-		"limer":                            -8.765146,
-		"limitation":                       -9.052828,
-		"limited":                          -10.151441,
-		"limt":                             -9.458294,
-		"line":                             -8.765146,
-		"linje":                            -6.685705,
-		"linje.":                           -7.848856,
-		"linjen":                           -5.507050,
-		"linjen.":                          -6.625080,
-		"linjene":                          -8.071999,
-		"linjenummeret":                    -8.765146,
-		"linjenummeret.":                   -9.458294,
-		"linjenumre":                       -9.458294,
-		"linjeomr":                         -9.458294,
-		"linjer":                           -8.765146,
-		"linjer.":                          -9.458294,
-		"linking":                          -10.151441,
-		"linnnjen":                         -9.458294,
-		"lisensen":                         -10.151441,
-		"liste":                            -8.071999,
-		"listet":                           -9.458294,
-		"liten":                            -8.071999,
-		"litt":                             -7.848856,
-		"load":                             -9.458294,
-		"loads":                            -10.151441,
-		"lobortis":                         -9.458294,
-		"lon":                              -10.151441,
-		"long":                             -10.151441,
-		"ls":                               -7.261069,
-		"lubjwr":                           -8.765146,
-		"lukke":                            -8.765146,
-		"lyd":                              -9.458294,
-		"lydavspillere":                    -10.151441,
-		"lydkomprimeringsprogram":          -10.151441,
-		"lydkort":                          -10.151441,
-		"lydprosessering.":                 -10.151441,
-		"lydstyring":                       -10.151441,
-		"lynjxn":                           -9.458294,
-		"m":                                -6.717454,
-		"macros":                           -10.151441,
-		"macros.":                          -9.458294,
-		"made":                             -9.458294,
-		"magnis":                           -10.151441,
-		"mail":                             -8.765146,
-		"mail.":                            -10.151441,
-		"make":                             -8.359681,
-		"makes":                            -9.458294,
-		"man":                              -10.151441,
-		"mange":                            -7.106918,
-		"mangler":                          -7.848856,
-		"mangler.":                         -9.458294,
-		"manipulere":                       -10.151441,
-		"manual":                           -8.765146,
-		"manually":                         -10.151441,
-		"mark":                             -5.127560,
-		"marked":                           -10.151441,
-		"markert":                          -8.359681,
-		"markert.":                         -9.458294,
-		"maskinen":                         -10.151441,
-		"maskinen.":                        -10.151441,
-		"maskiner":                         -10.151441,
-		"maskinvare":                       -9.458294,
-		"maskinvareplattformer.":           -10.151441,
-		"matematikkrelaterte":              -10.151441,
-		"matematiske":                      -9.458294,
-		"math":                             -9.458294,
-		"matte":                            -10.151441,
-		"matter":                           -10.151441,
-		"max":                              -10.151441,
-		"maxind":                           -10.151441,
-		"may":                              -7.848856,
-		"me":                               -9.458294,
-		"me.":                              -8.765146,
-		"means":                            -9.458294,
-		"med":                              -5.397851,
-		"media":                            -7.318227,
-		"meed":                             -9.458294,
-		"meget":                            -8.765146,
-		"melding":                          -9.458294,
-		"meldingstjeneste":                 -10.151441,
-		"mellom":                           -8.071999,
-		"mellomrom":                        -9.458294,
-		"mellomtjenere":                    -9.458294,
-		"men":                              -7.753546,
-		"mener":                            -9.052828,
-		"mens":                             -8.071999,
-		"ment":                             -8.542003,
-		"menu":                             -10.151441,
-		"mer":                              -7.586491,
-		"merke":                            -7.848856,
-		"merket":                           -6.750243,
-		"metadata":                         -10.151441,
-		"metus":                            -10.151441,
-		"mi":                               -10.151441,
-		"mikrokontrollere":                 -10.151441,
-		"miksere":                          -10.151441,
-		"milj":                             -9.458294,
-		"millert":                          -10.151441,
-		"min":                              -8.765146,
-		"min_f":                            -9.458294,
-		"mindre":                           -10.151441,
-		"mindre.":                          -9.458294,
-		"minimum":                          -9.458294,
-		"minind":                           -10.151441,
-		"minutter":                         -9.458294,
-		"misc/dhcp":                        -8.359681,
-		"misrepresented":                   -9.458294,
-		"missing":                          -10.151441,
-		"missing.":                         -10.151441,
-		"mm":                               -10.151441,
-		"mnglr":                            -9.458294,
-		"mobiltelefon":                     -10.151441,
-		"mobiltelefoner":                   -10.151441,
-		"mode":                             -10.151441,
-		"modemer":                          -10.151441,
-		"modification":                     -9.458294,
-		"modifications":                    -10.151441,
-		"modified":                         -10.151441,
-		"modifisere":                       -9.458294,
-		"modify":                           -10.151441,
-		"modus":                            -8.359681,
-		"mollis":                           -10.151441,
-		"montes":                           -10.151441,
-		"month":                            -10.151441,
-		"month.":                           -10.151441,
-		"monthly":                          -10.151441,
-		"months":                           -10.151441,
-		"morbi":                            -10.151441,
-		"more":                             -8.765146,
-		"most":                             -9.052828,
-		"motsatt":                          -8.765146,
-		"mouse":                            -10.151441,
-		"mulig":                            -8.765146,
-		"mulige":                           -9.458294,
-		"multi":                            -10.151441,
-		"multiple":                         -10.151441,
-		"music":                            -10.151441,
-		"musique":                          -10.151441,
-		"must":                             -8.359681,
-		"mye":                              -7.954216,
-		"mye.":                             -8.765146,
-		"mysql":                            -8.542003,
-		"n":                                -5.432942,
-		"nI":                               -10.151441,
-		"nPakker":                          -10.151441,
-		"name":                             -9.458294,
-		"names":                            -10.151441,
-		"navn":                             -10.151441,
-		"navnet":                           -7.848856,
-		"nca":                              -9.458294,
-		"ndholdte":                         -10.151441,
-		"ndtere":                           -10.151441,
-		"ndtering":                         -10.151441,
-		"ne":                               -10.151441,
-		"necessary.":                       -10.151441,
-		"ned":                              -8.359681,
-		"nede":                             -8.359681,
-		"nedenfor":                         -6.437869,
-		"nedenfor.":                        -7.378852,
-		"nederste":                         -8.765146,
-		"nedover.":                         -9.458294,
-		"nedovertasten":                    -9.458294,
-		"nedovertasten.":                   -9.458294,
-		"neppe":                            -10.151441,
-		"neque.":                           -10.151441,
-		"neste":                            -7.060398,
-		"neste.":                           -9.458294,
-		"nested":                           -10.151441,
-		"net":                              -7.848856,
-		"nett":                             -9.458294,
-		"nettbaserte":                      -10.151441,
-		"nettlesere":                       -10.151441,
-		"nettopp":                          -9.458294,
-		"nettverk":                         -10.151441,
-		"nettverksprotokoller":             -10.151441,
-		"nettverksrelaterte":               -10.151441,
-		"nettverkstrafikken":               -10.151441,
-		"nevner":                           -9.458294,
-		"nevnt":                            -9.458294,
-		"new":                              -8.542003,
-		"nibh":                             -10.151441,
-		"nisi":                             -9.458294,
-		"nisl":                             -9.458294,
-		"nisser":                           -10.151441,
-		"nn":                               -9.458294,
-		"no":                               -8.765146,
-		"nocp":                             -9.458294,
-		"noe":                              -7.512383,
-		"noen":                             -6.973387,
-		"nohlsearch":                       -9.458294,
-		"noic":                             -8.765146,
-		"nok":                              -8.765146,
-		"non":                              -9.052828,
-		"normalmodus":                      -8.765146,
-		"normalmodus.":                     -8.071999,
-		"normalmodusen":                    -9.458294,
-		"normalmodusen.":                   -8.765146,
-		"normalt":                          -10.151441,
-		"not":                              -7.060398,
-		"note":                             -10.151441,
-		"noter.":                           -10.151441,
-		"notice":                           -8.765146,
-		"notices":                          -10.151441,
-		"nouveau":                          -10.151441,
-		"now":                              -9.458294,
-		"nskede":                           -8.765146,
-		"nsket":                            -8.765146,
-		"null":                             -8.765146,
-		"number":                           -9.052828,
-		"number.":                          -10.151441,
-		"numeric":                          -8.542003,
-		"nummer":                           -7.512383,
-		"nunc":                             -10.151441,
-		"ny":                               -7.586491,
-		"nybegynnere.":                     -9.458294,
-		"nye":                              -9.458294,
-		"nyere":                            -9.458294,
-		"nyheter":                          -10.151441,
-		"nyhetssystemet":                   -10.151441,
-		"nytt":                             -8.765146,
-		"nytt.":                            -8.359681,
-		"nyttig":                           -8.359681,
-		"nyttige":                          -8.765146,
-		"o":                                -7.378852,
-		"objekter.":                        -10.151441,
-		"obligations":                      -9.458294,
-		"odio":                             -10.151441,
-		"of":                               -5.756992,
-		"ofte":                             -10.151441,
-		"og":                               -4.704703,
-		"ogs":                              -7.060398,
-		"om":                               -6.932565,
-		"omdirigere":                       -10.151441,
-		"omfattende":                       -9.458294,
-		"omfatter":                         -10.151441,
-		"omgj":                             -8.765146,
-		"omission.":                        -10.151441,
-		"omr":                              -9.458294,
-		"omtrent":                          -8.765146,
-		"on":                               -8.071999,
-		"ondes":                            -10.151441,
-		"one":                              -9.458294,
-		"only":                             -8.765146,
-		"oog":                              -9.458294,
-		"operativsystem":                   -8.765146,
-		"operator":                         -7.512383,
-		"operator.":                        -9.458294,
-		"operatoren":                       -8.359681,
-		"opere":                            -9.458294,
-		"operere":                          -9.458294,
-		"opp":                              -7.666534,
-		"oppgave":                          -9.458294,
-		"oppgaver":                         -9.052828,
-		"oppmerksom":                       -9.458294,
-		"oppn":                             -10.151441,
-		"opprette":                         -10.151441,
-		"oppringt":                         -10.151441,
-		"opprinnelig":                      -10.151441,
-		"oppsett":                          -9.458294,
-		"oppstartsskript":                  -9.458294,
-		"oppsummeringen":                   -8.359681,
-		"opptakere":                        -10.151441,
-		"option":                           -9.458294,
-		"or":                               -6.819236,
-		"ord":                              -7.378852,
-		"ord.":                             -8.359681,
-		"ordb":                             -10.151441,
-		"ordene":                           -8.765146,
-		"order":                            -10.151441,
-		"ordet":                            -6.973387,
-		"ordet.":                           -9.458294,
-		"ordne":                            -9.458294,
-		"ordner":                           -9.458294,
-		"oreiller.":                        -10.151441,
-		"origin":                           -10.151441,
-		"original":                         -8.765146,
-		"originalen":                       -9.458294,
-		"originalt.":                       -9.458294,
-		"osv.":                             -10.151441,
-		"other":                            -7.954216,
-		"others":                           -10.151441,
-		"otherwise":                        -10.151441,
-		"ou":                               -10.151441,
-		"our":                              -10.151441,
-		"ours":                             -10.151441,
-		"out.":                             -10.151441,
-		"output":                           -9.458294,
-		"outside":                          -10.151441,
-		"ovenfor":                          -8.765146,
-		"over":                             -7.666534,
-		"overf":                            -10.151441,
-		"overlay":                          -9.458294,
-		"oversette":                        -10.151441,
-		"oversikt":                         -9.458294,
-		"p":                                -4.992385,
-		"pakke":                            -10.151441,
-		"pakkearkivet":                     -10.151441,
-		"pakkeformat":                      -10.151441,
-		"pakkene":                          -7.954216,
-		"pakker":                           -7.443391,
-		"pakker.":                          -10.151441,
-		"pakkesystemet":                    -9.458294,
-		"paper":                            -10.151441,
-		"parameter":                        -9.458294,
-		"parametere.":                      -9.458294,
-		"parentesen":                       -9.458294,
-		"parenteser":                       -9.458294,
-		"parfois":                          -10.151441,
-		"particular":                       -10.151441,
-		"parties":                          -10.151441,
-		"partir":                           -10.151441,
-		"parts":                            -9.458294,
-		"parturiente":                      -10.151441,
-		"pas":                              -9.052828,
-		"passed":                           -10.151441,
-		"passes":                           -10.151441,
-		"passet":                           -9.458294,
-		"paste":                            -9.458294,
-		"patent":                           -9.052828,
-		"patenterte":                       -10.151441,
-		"patents":                          -9.458294,
-		"pauvret":                          -10.151441,
-		"peker":                            -9.458294,
-		"pellentesque":                     -10.151441,
-		"people":                           -10.151441,
-		"perl":                             -10.151441,
-		"permission.":                      -10.151441,
-		"permit":                           -9.052828,
-		"permitted":                        -9.458294,
-		"pertinent":                        -10.151441,
-		"pet":                              -9.458294,
-		"pil":                              -9.458294,
-		"piltastene":                       -9.458294,
-		"placed":                           -10.151441,
-		"placerat.":                        -10.151441,
-		"places":                           -10.151441,
-		"plainly":                          -10.151441,
-		"plasser":                          -8.765146,
-		"plassere":                         -9.052828,
-		"plassert":                         -8.205531,
-		"plater":                           -10.151441,
-		"pluss":                            -10.151441,
-		"pne":                              -7.848856,
-		"pointer":                          -10.151441,
-		"pomme":                            -10.151441,
-		"porta":                            -10.151441,
-		"portion":                          -9.458294,
-		"porttitor.":                       -10.151441,
-		"posisjon":                         -9.458294,
-		"posisjonen":                       -8.071999,
-		"posisjoner":                       -9.458294,
-		"posisjoner.":                      -9.458294,
-		"possible":                         -9.458294,
-		"post":                             -10.151441,
-		"posuere":                          -10.151441,
-		"potato":                           -10.151441,
-		"pour":                             -10.151441,
-		"ppelpost.":                        -10.151441,
-		"pr":                               -8.765146,
-		"practices.":                       -10.151441,
-		"precise":                          -10.151441,
-		"present":                          -10.151441,
-		"preserved.":                       -10.151441,
-		"preserving":                       -10.151441,
-		"print":                            -10.151441,
-		"problems":                         -9.458294,
-		"produsere":                        -10.151441,
-		"program":                          -7.443391,
-		"program.":                         -9.458294,
-		"programbibliotek":                 -10.151441,
-		"programmene":                      -9.052828,
-		"programmer":                       -6.625080,
-		"programmer.":                      -9.458294,
-		"programmerer":                     -9.458294,
-		"programmeringen":                  -10.151441,
-		"programmeringsspr":                -9.458294,
-		"programmeringsverkt":              -10.151441,
-		"programmet":                       -10.151441,
-		"programs":                         -10.151441,
-		"programs.":                        -10.151441,
-		"programvare":                      -7.512383,
-		"programvare.":                     -9.458294,
-		"programvarerutiner":               -10.151441,
-		"programvareutvikling":             -10.151441,
-		"promoting":                        -10.151441,
-		"property":                         -10.151441,
-		"proprietary":                      -9.458294,
-		"prosedyren.":                      -9.458294,
-		"prosjektet":                       -10.151441,
-		"protecting":                       -10.151441,
-		"protection":                       -10.151441,
-		"protokoller":                      -10.151441,
-		"public":                           -9.458294,
-		"publish":                          -10.151441,
-		"published":                        -9.052828,
-		"pugge.":                           -9.458294,
-		"pulvinar.":                        -10.151441,
-		"punkt":                            -7.666534,
-		"punktene":                         -8.359681,
-		"punktet":                          -8.765146,
-		"punktum":                          -9.458294,
-		"purpose":                          -9.052828,
-		"python":                           -10.151441,
-		"q":                                -7.666534,
-		"que":                              -10.151441,
-		"queries":                          -10.151441,
-		"quis":                             -9.052828,
-		"r":                                -5.363949,
-		"radioamat":                        -9.458294,
-		"range":                            -10.151441,
-		"rask":                             -9.458294,
-		"raskere":                          -9.458294,
-		"re":                               -6.144108,
-		"re.":                              -9.458294,
-		"read":                             -9.052828,
-		"reason":                           -10.151441,
-		"reasons":                          -10.151441,
-		"receive":                          -10.151441,
-		"received":                         -10.151441,
-		"recipients":                       -10.151441,
-		"recommand":                        -10.151441,
-		"redigere":                         -9.052828,
-		"redigere.":                        -9.458294,
-		"redigeringen":                     -9.458294,
-		"redistribute":                     -9.052828,
-		"redistribution":                   -10.151441,
-		"refers":                           -10.151441,
-		"reflect":                          -10.151441,
-		"refrain":                          -10.151441,
-		"register.":                        -9.458294,
-		"rekkef":                           -9.458294,
-		"reliance":                         -10.151441,
-		"removed":                          -10.151441,
-		"removes":                          -10.151441,
-		"ren":                              -5.231460,
-		"ren.":                             -7.378852,
-		"rende":                            -7.848856,
-		"reparere":                         -9.458294,
-		"repeter":                          -8.765146,
-		"repetere":                         -8.765146,
-		"repeterer":                        -8.765146,
-		"repeterer.":                       -9.458294,
-		"requires":                         -10.151441,
-		"rer":                              -7.318227,
-		"rer.":                             -10.151441,
-		"res":                              -8.765146,
-		"res.":                             -9.458294,
-		"resatt.":                          -9.458294,
-		"reserved.":                        -10.151441,
-		"responsible":                      -9.458294,
-		"rest":                             -10.151441,
-		"restart":                          -10.151441,
-		"resten":                           -8.765146,
-		"restricted":                       -9.458294,
-		"restrictions":                     -10.151441,
-		"results":                          -9.458294,
-		"retained.":                        -10.151441,
-		"retning":                          -9.458294,
-		"retning.":                         -9.458294,
-		"retningen":                        -9.458294,
-		"retningslinjer":                   -10.151441,
-		"rett":                             -8.542003,
-		"rette":                            -9.458294,
-		"rettet":                           -9.458294,
-		"rettsvesenet":                     -10.151441,
-		"return_val":                       -9.052828,
-		"returned":                         -9.052828,
-		"returnere":                        -8.765146,
-		"returns":                          -10.151441,
-		"reuse":                            -10.151441,
-		"revised":                          -10.151441,
-		"rger":                             -8.542003,
-		"right":                            -10.151441,
-		"rights":                           -9.458294,
-		"riktig":                           -9.458294,
-		"ring":                             -8.071999,
-		"ring.":                            -9.458294,
-		"ringen":                           -7.261069,
-		"ringen.":                          -9.458294,
-		"ringer.":                          -9.458294,
-		"rlinjen.":                         -9.458294,
-		"rm":                               -8.765146,
-		"rmMonAnnCycLLLT":                  -10.151441,
-		"rmMonAnnCycLLT":                   -8.765146,
-		"rmMonAnnCycTLL":                   -10.151441,
-		"rme":                              -9.458294,
-		"rne":                              -9.458294,
-		"royalty":                          -10.151441,
-		"rpm":                              -10.151441,
-		"rposisjonen":                      -9.458294,
-		"rposisjonen.":                     -9.458294,
-		"rre":                              -8.765146,
-		"rst":                              -8.765146,
-		"rste":                             -6.144108,
-		"rt":                               -7.954216,
-		"rte":                              -8.359681,
-		"ruler":                            -9.458294,
-		"run":                              -9.458294,
-		"rundt":                            -8.359681,
-		"running":                          -9.458294,
-		"rx":                               -9.458294,
-		"s":                                -6.073903,
-		"s/deen/den/":                      -9.458294,
-		"s/deen/den/g":                     -9.458294,
-		"s/gammel/ny":                      -9.458294,
-		"s/gammel/ny/g":                    -8.071999,
-		"s/gammel/ny/gc":                   -8.765146,
-		"safest":                           -10.151441,
-		"sagittis":                         -10.151441,
-		"same":                             -9.052828,
-		"samling":                          -9.458294,
-		"samme":                            -7.512383,
-		"sammen":                           -8.359681,
-		"sammenvevd":                       -9.458294,
-		"sample":                           -10.151441,
-		"samsvarende":                      -9.458294,
-		"samsvarer.":                       -9.458294,
-		"samtale":                          -10.151441,
-		"sant":                             -9.458294,
-		"sapien":                           -10.151441,
-		"satisfy":                          -9.458294,
-		"satt":                             -8.765146,
-		"say":                              -10.151441,
-		"saying":                           -10.151441,
-		"scalar":                           -10.151441,
-		"school":                           -10.151441,
-		"scope.":                           -10.151441,
-		"se":                               -7.060398,
-		"section":                          -8.359681,
-		"sed":                              -10.151441,
-		"seksjonen":                        -8.765146,
-		"seksjonen.":                       -10.151441,
-		"sekvenser":                        -10.151441,
-		"selv":                             -8.542003,
-		"selv.":                            -10.151441,
-		"selve":                            -10.151441,
-		"sem":                              -10.151441,
-		"sende":                            -10.151441,
-		"senectus":                         -10.151441,
-		"ser":                              -8.071999,
-		"server":                           -9.458294,
-		"set":                              -7.512383,
-		"setningen":                        -8.071999,
-		"setningen.":                       -8.359681,
-		"sett":                             -8.359681,
-		"sette":                            -7.261069,
-		"setter":                           -8.765146,
-		"settes":                           -8.765146,
-		"share":                            -9.458294,
-		"sharing":                          -10.151441,
-		"she":                              -10.151441,
-		"short":                            -10.151441,
-		"should":                           -8.765146,
-		"show":                             -8.765146,
-		"si":                               -8.765146,
-		"sign":                             -10.151441,
-		"sikker":                           -7.753546,
-		"similar":                          -10.151441,
-		"simulatorer":                      -10.151441,
-		"simultaneously":                   -10.151441,
-		"sine":                             -10.151441,
-		"siste":                            -8.071999,
-		"sit":                              -10.151441,
-		"sitter.":                          -9.458294,
-		"size":                             -10.151441,
-		"sjanse":                           -10.151441,
-		"sjekk":                            -9.458294,
-		"sjekke":                           -8.765146,
-		"sjeldne":                          -10.151441,
-		"sjette":                           -9.458294,
-		"skal":                             -6.685705,
-		"skall":                            -9.052828,
-		"skallet":                          -9.458294,
-		"skallet.":                         -9.458294,
-		"skanner":                          -10.151441,
-		"skikkelig.":                       -9.458294,
-		"skjer":                            -8.765146,
-		"skjer.":                           -9.458294,
-		"skjermen":                         -7.848856,
-		"skjermen.":                        -8.359681,
-		"skr":                              -9.458294,
-		"skrev":                            -9.458294,
-		"skrevet":                          -7.753546,
-		"skrifttyper":                      -10.151441,
-		"skript":                           -10.151441,
-		"skriptspr":                        -10.151441,
-		"skriv":                            -6.322799,
-		"skrivbordsmilj":                   -9.458294,
-		"skrive":                           -6.750243,
-		"skrivebordssystem":                -10.151441,
-		"skriveprogram":                    -10.151441,
-		"skriveprogrammer":                 -9.052828,
-		"skriver":                          -8.359681,
-		"skriver.":                         -9.458294,
-		"skrives":                          -9.458294,
-		"skyld.":                           -10.151441,
-		"skyldes":                          -10.151441,
-		"sl":                               -8.359681,
-		"slags":                            -10.151441,
-		"slett":                            -7.586491,
-		"slette":                           -6.487879,
-		"slettede":                         -9.458294,
-		"slettekommando":                   -9.458294,
-		"sletteoperatoren":                 -8.765146,
-		"sletteoperatoren.":                -9.458294,
-		"sletter":                          -8.765146,
-		"slettes.":                         -8.765146,
-		"slettet":                          -8.359681,
-		"slettet.":                         -9.458294,
-		"slettetasten":                     -9.458294,
-		"sletting":                         -9.458294,
-		"slik":                             -9.458294,
-		"slike":                            -10.151441,
-		"slot/slot":                        -9.458294,
-		"slutten":                          -6.413771,
-		"slutter":                          -9.458294,
-		"smaller":                          -10.151441,
-		"snakke":                           -10.151441,
-		"snudddde":                         -9.458294,
-		"snudde":                           -9.458294,
-		"so":                               -8.765146,
-		"software":                         -7.378852,
-		"software.":                        -9.458294,
-		"sole":                             -10.151441,
-		"sollicitudin":                     -10.151441,
-		"som":                              -4.986655,
-		"someone":                          -10.151441,
-		"something":                        -10.151441,
-		"sometimes":                        -10.151441,
-		"source":                           -9.458294,
-		"sources":                          -9.458294,
-		"sp":                               -9.458294,
-		"specifies":                        -10.151441,
-		"specify":                          -10.151441,
-		"spesialisert":                     -10.151441,
-		"spesialiserte":                    -10.151441,
-		"spesielt":                         -9.458294,
-		"spesifikk":                        -9.458294,
-		"spesifisert.":                     -9.458294,
-		"spill":                            -10.151441,
-		"spille":                           -10.151441,
-		"spirit":                           -10.151441,
-		"spr":                              -9.052828,
-		"st":                               -7.954216,
-		"stand":                            -8.765146,
-		"standard.":                        -9.458294,
-		"standardbibliotek":                -10.151441,
-		"start":                            -9.052828,
-		"starte":                           -8.071999,
-		"starten":                          -7.378852,
-		"starter":                          -8.765146,
-		"startes":                          -9.458294,
-		"startet":                          -8.765146,
-		"starts":                           -10.151441,
-		"status":                           -10.151441,
-		"stavekontroll":                    -10.151441,
-		"steder.":                          -10.151441,
-		"steg":                             -7.512383,
-		"stegene":                          -8.359681,
-		"steinen":                          -9.458294,
-		"stor":                             -7.954216,
-		"store":                            -8.765146,
-		"store/sm":                         -8.071999,
-		"stort":                            -9.458294,
-		"streken":                          -9.458294,
-		"stress":                           -10.151441,
-		"studier":                          -9.458294,
-		"styre":                            -9.458294,
-		"styring":                          -10.151441,
-		"subcat/package":                   -9.458294,
-		"subject":                          -9.458294,
-		"subroutine":                       -10.151441,
-		"subtracts":                        -10.151441,
-		"such":                             -8.765146,
-		"suits":                            -10.151441,
-		"sunbase.org":                      -9.458294,
-		"sunny":                            -9.458294,
-		"supports":                         -10.151441,
-		"sure":                             -10.151441,
-		"sv":                               -10.151441,
-		"symbolsk":                         -10.151441,
-		"syne":                             -8.359681,
-		"syne.":                            -9.458294,
-		"syntaks":                          -9.458294,
-		"sys":                              -7.378852,
-		"system":                           -8.205531,
-		"systemer":                         -10.151441,
-		"systemer.":                        -10.151441,
-		"systemet":                         -8.359681,
-		"systemet.":                        -10.151441,
-		"systemverkt":                      -10.151441,
-		"t":                                -9.458294,
-		"ta":                               -9.458294,
-		"take":                             -10.151441,
-		"tall":                             -8.765146,
-		"tall.":                            -9.458294,
-		"tallet":                           -9.458294,
-		"tar":                              -8.359681,
-		"tasten":                           -7.261069,
-		"tastene":                          -9.458294,
-		"tastene.":                         -9.458294,
-		"taster":                           -8.765146,
-		"te":                               -8.205531,
-		"te.":                              -10.151441,
-		"tegn":                             -8.359681,
-		"tegn.":                            -8.765146,
-		"tegne":                            -10.151441,
-		"tegnene":                          -9.458294,
-		"tegnet":                           -7.261069,
-		"tegnet.":                          -7.848856,
-		"tegnkoding":                       -10.151441,
-		"tek":                              -8.765146,
-		"tekst":                            -6.239418,
-		"tekst.":                           -8.359681,
-		"tekstbehandlere":                  -9.458294,
-		"teksten":                          -6.973387,
-		"teksten.":                         -7.512383,
-		"tekstfiltere":                     -10.151441,
-		"tekststreng":                      -9.458294,
-		"temaer":                           -9.458294,
-		"tempor":                           -10.151441,
-		"temps":                            -10.151441,
-		"temps.":                           -10.151441,
-		"ten":                              -8.765146,
-		"tene":                             -9.458294,
-		"tenkt":                            -10.151441,
-		"tenkt.":                           -10.151441,
-		"term":                             -9.458294,
-		"terms":                            -8.765146,
-		"terms.":                           -10.151441,
-		"terre":                            -10.151441,
-		"testing":                          -9.458294,
-		"testlinje":                        -9.458294,
-		"tett":                             -9.458294,
-		"tex":                              -10.151441,
-		"tgz":                              -10.151441,
-		"than":                             -9.052828,
-		"that":                             -7.512383,
-		"the":                              -5.323127,
-		"them":                             -10.151441,
-		"then":                             -9.052828,
-		"there":                            -10.151441,
-		"thermique":                        -10.151441,
-		"these":                            -9.458294,
-		"they":                             -8.542003,
-		"third":                            -10.151441,
-		"this":                             -6.893344,
-		"this.":                            -10.151441,
-		"thoroughly":                       -10.151441,
-		"those":                            -9.458294,
-		"three":                            -10.151441,
-		"through":                          -9.052828,
-		"thus":                             -10.151441,
-		"tid":                              -9.458294,
-		"tiden":                            -9.458294,
-		"tidligere":                        -8.765146,
-		"til":                              -4.418100,
-		"til.":                             -9.458294,
-		"tilbake":                          -7.666534,
-		"tilbake.":                         -9.458294,
-		"tilby":                            -10.151441,
-		"tilbyr":                           -9.458294,
-		"tilfeller":                        -10.151441,
-		"tilfellet":                        -9.458294,
-		"tilgjengelige":                    -10.151441,
-		"tilh":                             -10.151441,
-		"tillagt":                          -9.458294,
-		"time":                             -9.458294,
-		"time.":                            -9.458294,
-		"tincidunt":                        -9.458294,
-		"ting":                             -10.151441,
-		"tjener":                           -10.151441,
-		"tjenere":                          -8.542003,
-		"tjenester":                        -10.151441,
-		"tjykket":                          -9.458294,
-		"tkst":                             -9.458294,
-		"to":                               -5.769414,
-		"together":                         -10.151441,
-		"tolkeprogram":                     -10.151441,
-		"tolker":                           -9.458294,
-		"tomme":                            -9.458294,
-		"tortor":                           -10.151441,
-		"translated":                       -10.151441,
-		"translation":                      -10.151441,
-		"tre":                              -8.765146,
-		"tre.":                             -10.151441,
-		"tredje":                           -9.458294,
-		"tredjeparts":                      -9.458294,
-		"treff":                            -8.765146,
-		"trenger":                          -7.954216,
-		"trengs":                           -10.151441,
-		"triplestore":                      -10.151441,
-		"tristique":                        -10.151441,
-		"tristique.":                       -10.151441,
-		"true":                             -10.151441,
-		"trykk":                            -6.200197,
-		"trykke":                           -7.155709,
-		"trykker":                          -7.848856,
-		"trykkes.":                         -9.458294,
-		"trykket":                          -8.765146,
-		"ts":                               -9.458294,
-		"tt":                               -8.359681,
-		"tteprogrammer":                    -10.151441,
-		"turpis.":                          -10.151441,
-		"tutor":                            -8.765146,
-		"tutor.no":                         -9.458294,
-		"two":                              -10.151441,
-		"tyngste":                          -9.458294,
-		"type":                             -8.359681,
-		"type.":                            -10.151441,
-		"typeof":                           -9.458294,
-		"typisk":                           -10.151441,
-		"typografi":                        -10.151441,
-		"typografisk":                      -10.151441,
-		"u":                                -7.261069,
-		"ubalansert":                       -9.458294,
-		"uforandret.":                      -9.458294,
-		"ufri":                             -9.458294,
-		"ufullstendige":                    -9.458294,
-		"ulike":                            -9.052828,
-		"un":                               -9.052828,
-		"under":                            -6.973387,
-		"under.":                           -9.458294,
-		"underholdningens":                 -10.151441,
-		"understands":                      -10.151441,
-		"une":                              -10.151441,
-		"unenforceable":                    -10.151441,
-		"unikt":                            -9.458294,
-		"unntak":                           -10.151441,
-		"unntatt":                          -9.458294,
-		"unpack":                           -10.151441,
-		"up":                               -10.151441,
-		"updated.":                         -10.151441,
-		"usage.":                           -10.151441,
-		"use":                              -7.848856,
-		"used":                             -10.151441,
-		"useful":                           -9.458294,
-		"user":                             -8.765146,
-		"users":                            -9.052828,
-		"users.":                           -10.151441,
-		"usikker":                          -9.458294,
-		"using":                            -10.151441,
-		"ut":                               -7.318227,
-		"utdata":                           -10.151441,
-		"utdataene":                        -8.359681,
-		"utdatafiler":                      -10.151441,
-		"uten":                             -8.542003,
-		"utenfor":                          -8.765146,
-		"utf":                              -7.060398,
-		"utgaven":                          -9.458294,
-		"uthev":                            -9.458294,
-		"uthevede":                         -9.458294,
-		"utheving.":                        -9.458294,
-		"uthevingen":                       -9.458294,
-		"utregninger":                      -10.151441,
-		"utropstegnet":                     -9.458294,
-		"utskrifter":                       -10.151441,
-		"utstyr":                           -10.151441,
-		"uttrykkelig":                      -9.458294,
-		"uttrykket":                        -9.458294,
-		"utviklerne":                       -9.458294,
-		"utvikling":                        -9.458294,
-		"v":                                -6.301293,
-		"valg":                             -8.765146,
-		"valg.":                            -9.458294,
-		"valgene":                          -9.458294,
-		"valget":                           -7.848856,
-		"valget.":                          -8.765146,
-		"valgfritt":                        -9.458294,
-		"valgte":                           -8.359681,
-		"validity":                         -10.151441,
-		"value":                            -8.071999,
-		"value.":                           -10.151441,
-		"values":                           -8.765146,
-		"vanskelige":                       -10.151441,
-		"vant":                             -9.458294,
-		"var":                              -7.666534,
-		"ve":                               -8.205531,
-		"ved":                              -6.654933,
-		"vel":                              -10.151441,
-		"veldig":                           -9.458294,
-		"velge":                            -9.458294,
-		"velger":                           -9.458294,
-		"velkjente":                        -9.458294,
-		"venstre":                          -8.765146,
-		"venstre.":                         -9.458294,
-		"venter":                           -9.458294,
-		"verbatim":                         -9.052828,
-		"verden":                           -10.151441,
-		"verdensveven":                     -10.151441,
-		"verkt":                            -7.586491,
-		"verset":                           -9.458294,
-		"version":                          -7.848856,
-		"version.":                         -10.151441,
-		"versions":                         -9.052828,
-		"versjonen.":                       -9.458294,
-		"verte":                            -10.151441,
-		"vet":                              -8.765146,
-		"vi":                               -8.359681,
-		"video/nvidia":                     -9.458294,
-		"videokort":                        -10.151441,
-		"videre":                           -7.954216,
-		"videre.":                          -9.458294,
-		"viktig":                           -9.458294,
-		"vil":                              -6.008306,
-		"ville":                            -9.458294,
-		"vim":                              -7.848856,
-		"vimrc":                            -7.666534,
-		"vimtutor":                         -8.359681,
-		"vindu":                            -9.458294,
-		"vindu.":                           -9.458294,
-		"vindusbehandlere":                 -10.151441,
-		"vindussystemet":                   -10.151441,
-		"virke.":                           -9.052828,
-		"virker":                           -9.458294,
-		"virker.":                          -8.765146,
-		"vis":                              -9.458294,
-		"vise":                             -8.359681,
-		"viser":                            -8.542003,
-		"visning":                          -9.458294,
-		"vist.":                            -9.458294,
-		"visuell":                          -8.765146,
-		"visuelt":                          -8.765146,
-		"vitae":                            -10.151441,
-		"vite":                             -9.458294,
-		"vitenskap":                        -10.151441,
-		"vitenskapelig":                    -10.151441,
-		"vitenskaplig":                     -10.151441,
-		"volutpat":                         -10.151441,
-		"w":                                -6.654933,
-		"want":                             -8.765146,
-		"warranty":                         -9.052828,
-		"way":                              -9.458294,
-		"we":                               -8.765146,
-		"wgh":                              -9.458294,
-		"what":                             -8.542003,
-		"whatever":                         -10.151441,
-		"when":                             -10.151441,
-		"where":                            -10.151441,
-		"whether":                          -10.151441,
-		"which":                            -8.359681,
-		"who":                              -9.458294,
-		"whole":                            -10.151441,
-		"whose":                            -10.151441,
-		"wide":                             -10.151441,
-		"will":                             -8.205531,
-		"willing":                          -10.151441,
-		"wish":                             -10.151441,
-		"with":                             -8.542003,
-		"without":                          -9.458294,
-		"work":                             -8.359681,
-		"would":                            -9.458294,
-		"wq":                               -8.359681,
-		"wrapscan":                         -9.458294,
-		"write":                            -9.052828,
-		"written":                          -9.458294,
-		"x":                                -6.973387,
-		"x.":                               -8.542003,
-		"x.x.jar":                          -10.151441,
-		"xxx":                              -8.071999,
-		"xxx.":                             -8.359681,
-		"y":                                -6.596093,
-		"yank":                             -8.765146,
-		"year":                             -10.151441,
-		"ymse":                             -10.151441,
-		"you":                              -7.261069,
-		"your":                             -7.954216,
-		"yre":                              -8.359681,
-		"yre.":                             -9.458294,
-		"yvind":                            -9.458294,
-		"yw":                               -9.458294,
-		"zkrevet":                          -9.458294,
-		"zlib":                             -9.458294,
-		"zmodem":                           -10.151441,
-		"zonal":                            -9.458294,
-		"zonalAve":                         -9.052828,
-		"{":                                -8.359681,
-		"|":                                -7.666534,
-		"}":                                -8.765146,
-		"~":                                -1.363610,
-		"©":                                -9.052828,
-		"«":                                -5.372317,
-		"»":                                -5.372317,
-		"Â":                                -9.052828,
-		"Å":                                -7.378852,
-		"Ø":                                -7.666534,
-		"à":                                -9.458294,
-		"å":                                -3.373794,
-		"æ":                                -6.219615,
-		"è":                                -9.458294,
-		"é":                                -7.954216,
-		"î":                                -10.151441,
-		"ø":                                -3.983924,
-		"Ŧ":                                -5.888761,
-		"ŧ":                                -5.888761,
+		"!":                                      -5.759953,
+		"#":                                      -8.362642,
+		"#s/gammel/ny/g":                         -8.768108,
+		"$":                                      -6.753205,
+		"%":                                      -7.756507,
+		"&":                                      -9.461255,
+		"'":                                      -9.055790,
+		"(":                                      -5.409470,
+		")":                                      -5.191557,
+		"*":                                      -6.416732,
+		"**":                                     -5.350381,
+		"*/*":                                    -9.461255,
+		"*_beta*":                                -9.461255,
+		"+":                                      -8.768108,
+		",":                                      -4.085976,
+		"-":                                      -3.452442,
+		".":                                      -5.079228,
+		"/":                                      -7.669495,
+		"/.vimrc":                                -9.461255,
+		"//dev.mysql.com/downloads/connector/j/": -10.154402,
+		"//iccf":                                 -9.461255,
+		"//localhost/Users/hubery/Public/ucar/Document/Functions/Built":                            -10.154402,
+		"//localhost/Users/hubery/Public/ucar/Document/Functions/Contributed/rmMonAnnCycLLT.shtml": -10.154402,
+		"//localhost/Users/hubery/Public/ucar/Document/Functions/Contributed/zonalAve.shtml":       -10.154402,
+		"//www.debian.org/social_contract#guidelines":                                              -9.055790,
+		"//zlib.net/":                      -10.154402,
+		"//zlib.net/zlib_license.html":     -10.154402,
+		"/ignore":                          -8.362642,
+		":":                                -3.986885,
+		";":                                -7.209963,
+		"<":                                -7.851817,
+		"<ENTER>":                          -6.516816,
+		"<ESC>":                            -6.516816,
+		"<Help>":                           -9.461255,
+		"<TAB>":                            -7.851817,
+		"<name>":                           -10.154402,
+		"<one>":                            -10.154402,
+		"<signature>":                      -10.154402,
+		"<year>":                           -10.154402,
+		"=":                                -4.058577,
+		">":                                -5.205642,
+		"?":                                -8.208492,
+		"A":                                -7.446352,
+		"A.":                               -9.461255,
+		"ABC":                              -9.461255,
+		"ABOVE":                            -10.154402,
+		"ABSOLUTELY":                       -10.154402,
+		"ADD":                              -10.154402,
+		"ADVISED":                          -10.154402,
+		"AGREED":                           -10.154402,
+		"ALL":                              -10.154402,
+		"AND":                              -8.544964,
+		"AND/OR":                           -9.461255,
+		"ANGRE":                            -9.461255,
+		"ANNEN":                            -9.461255,
+		"ANTALL":                           -9.461255,
+		"ANY":                              -8.362642,
+		"APPLICABLE":                       -9.461255,
+		"ARISING":                          -10.154402,
+		"AS":                               -9.461255,
+		"ASCII":                            -10.154402,
+		"ASSUME":                           -10.154402,
+		"AV":                               -6.628041,
+		"AVSLUTTE":                         -9.461255,
+		"Activities":                       -10.154402,
+		"Administrative":                   -10.154402,
+		"Aeneas":                           -10.154402,
+		"All":                              -10.154402,
+		"Alle":                             -9.055790,
+		"Also":                             -9.055790,
+		"Altered":                          -10.154402,
+		"American":                         -10.154402,
+		"An":                               -9.461255,
+		"Apache":                           -8.768108,
+		"Apply":                            -10.154402,
+		"April":                            -10.154402,
+		"Arguments":                        -9.055790,
+		"Associates":                       -9.461255,
+		"Avslutt":                          -9.461255,
+		"BAKOVER":                          -9.461255,
+		"BBS.":                             -10.154402,
+		"BE":                               -10.154402,
+		"BECAUSE":                          -10.154402,
+		"BEEN":                             -10.154402,
+		"BEING":                            -10.154402,
+		"BEVEGELSE":                        -9.461255,
+		"BEVEGELSER":                       -9.461255,
+		"BOKSTAVER":                        -9.461255,
+		"BRUK":                             -8.362642,
+		"BRUKE":                            -9.461255,
+		"BUT":                              -9.461255,
+		"BY":                               -9.055790,
+		"Beatles":                          -10.154402,
+		"Bevegelsene":                      -9.461255,
+		"Blant":                            -9.461255,
+		"Bokstaven":                        -9.461255,
+		"Boston":                           -9.461255,
+		"Bram":                             -9.461255,
+		"Bruk":                             -7.515345,
+		"By":                               -10.154402,
+		"C":                                -8.362642,
+		"C.":                               -9.461255,
+		"CD":                               -10.154402,
+		"CGI":                              -10.154402,
+		"CHARGE":                           -10.154402,
+		"CONDITIONS":                       -9.461255,
+		"CONSEQUENTIAL":                    -10.154402,
+		"COPYING":                          -10.154402,
+		"COPYRIGHT":                        -9.055790,
+		"CORRECTION.":                      -10.154402,
+		"COST":                             -10.154402,
+		"CTRL":                             -6.543484,
+		"California.":                      -10.154402,
+		"Caps":                             -9.461255,
+		"Case":                             -9.461255,
+		"Charles":                          -9.461255,
+		"Climatology":                      -10.154402,
+		"Colorado":                         -8.768108,
+		"Company":                          -10.154402,
+		"Computes":                         -9.461255,
+		"Connector/J":                      -10.154402,
+		"Contact":                          -9.055790,
+		"Coon":                             -9.461255,
+		"Copyright":                        -8.768108,
+		"Cras":                             -10.154402,
+		"Ctrl":                             -8.362642,
+		"Cursus":                           -10.154402,
+		"D":                                -6.720415,
+		"DAMAGES":                          -9.461255,
+		"DAMAGES.":                         -10.154402,
+		"DATA":                             -9.461255,
+		"DE":                               -9.461255,
+		"DEFECTIVE":                        -10.154402,
+		"DEFLATE":                          -10.154402,
+		"DISTRIBUTION":                     -10.154402,
+		"DOS":                              -8.768108,
+		"DOS.":                             -9.461255,
+		"Da":                               -8.768108,
+		"De":                               -9.461255,
+		"Debian":                           -7.851817,
+		"Debian.":                          -9.055790,
+		"Debians":                          -8.768108,
+		"Delete":                           -10.154402,
+		"Den":                              -7.669495,
+		"Denne":                            -7.515345,
+		"Der":                              -9.461255,
+		"Deretter":                         -8.362642,
+		"Description":                      -8.768108,
+		"Det":                              -6.657894,
+		"Dette":                            -6.720415,
+		"DipTrace":                         -10.154402,
+		"Disse":                            -8.544964,
+		"Documentation":                    -9.055790,
+		"Dokumentasjon":                    -10.154402,
+		"Donec":                            -10.154402,
+		"Druer":                            -9.461255,
+		"Du":                               -7.109879,
+		"Duis":                             -10.154402,
+		"E":                                -8.768108,
+		"EITHER":                           -10.154402,
+		"EKSTERN":                          -9.461255,
+		"ELLER":                            -9.461255,
+		"EN":                               -8.074960,
+		"END":                              -10.154402,
+		"ENTIRE":                           -10.154402,
+		"ERSTATT":                          -8.768108,
+		"ERSTATTE":                         -9.461255,
+		"ET":                               -9.461255,
+		"ETTER":                            -7.669495,
+		"EVEN":                             -10.154402,
+		"EVENT":                            -10.154402,
+		"EXCEPT":                           -10.154402,
+		"EXPRESSED":                        -10.154402,
+		"EXTENT":                           -10.154402,
+		"Each":                             -9.461255,
+		"Editor":                           -9.461255,
+		"Eller":                            -9.461255,
+		"En":                               -8.768108,
+		"Enter.":                           -9.461255,
+		"Erstatningsmodus":                 -9.461255,
+		"Erstatte":                         -8.074960,
+		"Et":                               -8.544964,
+		"Etiam":                            -10.154402,
+		"Etter":                            -8.074960,
+		"Etterhvert":                       -9.461255,
+		"Everyone":                         -10.154402,
+		"Example":                          -10.154402,
+		"Examples":                         -10.154402,
+		"Exp":                              -10.154402,
+		"F":                                -7.669495,
+		"FAILURE":                          -10.154402,
+		"FGHI":                             -9.461255,
+		"FIL":                              -8.768108,
+		"FILER":                            -8.768108,
+		"FILNAVN":                          -7.158670,
+		"FILNAVN.":                         -8.362642,
+		"FILSTATUS":                        -9.461255,
+		"FINN":                             -9.461255,
+		"FITNESS":                          -9.461255,
+		"FLERE":                            -8.768108,
+		"FLYTTING":                         -9.461255,
+		"FOR":                              -7.957177,
+		"FORANDRE":                         -9.461255,
+		"FORANDRINGER":                     -9.461255,
+		"FORKASTER":                        -9.461255,
+		"FRAMOVER":                         -9.461255,
+		"FREE":                             -10.154402,
+		"FULLF":                            -9.461255,
+		"FidoNet":                          -10.154402,
+		"Fifth":                            -9.461255,
+		"File":                             -10.154402,
+		"Filen":                            -9.461255,
+		"Fioler":                           -8.768108,
+		"Fjern":                            -9.461255,
+		"Floor":                            -9.461255,
+		"Flytt":                            -6.093959,
+		"For":                              -5.747683,
+		"Forandringskommandoen":            -9.461255,
+		"Forandringsoperatoren":            -8.768108,
+		"Foreldede":                        -10.154402,
+		"Formatet":                         -8.074960,
+		"Forskjellige":                     -10.154402,
+		"Foundation":                       -8.208492,
+		"Foundation.":                      -9.461255,
+		"Fra":                              -9.461255,
+		"Franklin":                         -9.461255,
+		"Free":                             -7.851817,
+		"Fri":                              -10.154402,
+		"Fullf":                            -8.768108,
+		"Functions":                        -9.055790,
+		"Fusce":                            -10.154402,
+		"G":                                -6.516816,
+		"G.":                               -8.768108,
+		"GENERAL":                          -9.055790,
+		"GNOME":                            -9.055790,
+		"GNU":                              -8.208492,
+		"GPL":                              -10.154402,
+		"General":                          -7.669495,
+		"Gj":                               -9.461255,
+		"Gnomovision":                      -9.055790,
+		"Guidelines":                       -10.154402,
+		"H":                                -10.154402,
+		"HAS":                              -10.154402,
+		"HAVE_VSNPRINTF":                   -10.154402,
+		"HENTING":                          -9.461255,
+		"HJELP":                            -9.461255,
+		"HOLDER":                           -9.461255,
+		"HOLDERS":                          -10.154402,
+		"HVORDAN":                          -9.461255,
+		"Hacker.":                          -10.154402,
+		"Headline":                         -10.154402,
+		"Helt":                             -9.461255,
+		"Henry":                            -10.154402,
+		"Hent":                             -9.461255,
+		"Her":                              -8.768108,
+		"Here":                             -10.154402,
+		"Hereinafter":                      -10.154402,
+		"Hessstennnn":                      -9.461255,
+		"Hesten":                           -9.461255,
+		"Hjelp":                            -9.461255,
+		"Hold":                             -8.362642,
+		"Holm.":                            -9.461255,
+		"Home":                             -9.055790,
+		"How":                              -10.154402,
+		"However":                          -10.154402,
+		"Husk":                             -8.768108,
+		"Hvis":                             -7.515345,
+		"I":                                -7.851817,
+		"IF":                               -10.154402,
+		"IGNORE":                           -9.461255,
+		"IKKE":                             -9.461255,
+		"IMPLIED":                          -9.461255,
+		"IN":                               -9.055790,
+		"INABILITY":                        -10.154402,
+		"INACCURATE":                       -10.154402,
+		"INCIDENTAL":                       -10.154402,
+		"INCLUDING":                        -9.055790,
+		"INF/lib":                          -10.154402,
+		"ING":                              -9.461255,
+		"INKLUDERT":                        -8.768108,
+		"INLIDARLite.ncl*":                 -10.154402,
+		"INN":                              -8.768108,
+		"IS":                               -9.055790,
+		"Id":                               -9.461255,
+		"If":                               -7.515345,
+		"Ignore":                           -8.768108,
+		"Ikke":                             -9.461255,
+		"Il":                               -10.154402,
+		"Improved":                         -9.461255,
+		"In":                               -10.154402,
+		"Inc.":                             -8.544964,
+		"Inneholder":                       -9.461255,
+		"Intelligens":                      -9.461255,
+		"It":                               -9.461255,
+		"JK":                               -9.461255,
+		"James":                            -10.154402,
+		"Jeg":                              -9.461255,
+		"June":                             -10.154402,
+		"Jusqu":                            -10.154402,
+		"K.":                               -9.461255,
+		"KDE":                              -9.055790,
+		"KEKOMMANDOEN":                     -9.461255,
+		"KIND":                             -10.154402,
+		"KOMMANDO":                         -9.461255,
+		"KOMMANDOEN":                       -7.669495,
+		"KOPIERE":                          -9.461255,
+		"Kan":                              -9.461255,
+		"Kanskje":                          -10.154402,
+		"Keep":                             -10.154402,
+		"KiWi":                             -10.154402,
+		"Klienter":                         -10.154402,
+		"Klokker":                          -9.461255,
+		"Kommandoen":                       -9.461255,
+		"Kommandoene":                      -9.461255,
+		"Kommandolinjefullf":               -9.461255,
+		"Kommandoskall":                    -10.154402,
+		"Kommunikasjon":                    -10.154402,
+		"Kontroller":                       -9.461255,
+		"LAG":                              -9.461255,
+		"LAGRES":                           -9.461255,
+		"LAGRING":                          -9.461255,
+		"LAW":                              -10.154402,
+		"LAW.":                             -10.154402,
+		"LEGG":                             -9.461255,
+		"LEKSJON":                          -7.381813,
+		"LIABLE":                           -10.154402,
+		"LICENSE":                          -9.461255,
+		"LICENSED":                         -10.154402,
+		"LIM":                              -9.461255,
+		"LIME":                             -9.461255,
+		"LIMITED":                          -9.461255,
+		"LINJE":                            -9.461255,
+		"LINJER":                           -9.461255,
+		"LMN":                              -9.461255,
+		"LNBoardOutline*":                  -10.154402,
+		"LOSS":                             -10.154402,
+		"LOSSES":                           -10.154402,
+		"Lacinia":                          -10.154402,
+		"Lag":                              -8.768108,
+		"Lagre":                            -8.768108,
+		"Lamb":                             -9.461255,
+		"Learning":                         -9.461255,
+		"Legg":                             -7.515345,
+		"Leksjon":                          -5.995519,
+		"Les":                              -8.074960,
+		"Lesser":                           -10.154402,
+		"Let":                              -9.461255,
+		"License":                          -7.109879,
+		"License.":                         -8.208492,
+		"Linda":                            -9.461255,
+		"Linux.":                           -9.461255,
+		"List":                             -9.461255,
+		"Local":                            -10.154402,
+		"Lock":                             -9.461255,
+		"Lorem":                            -10.154402,
+		"M":                                -8.768108,
+		"MA":                               -9.461255,
+		"MARK":                             -8.768108,
+		"MAY":                              -10.154402,
+		"MER":                              -8.768108,
+		"MERCHANTABILITY":                  -9.461255,
+		"MERK":                             -6.570883,
+		"MIDI":                             -10.154402,
+		"MODIFICATION":                     -10.154402,
+		"MODIFY":                           -10.154402,
+		"MS":                               -8.362642,
+		"Malesuada":                        -10.154402,
+		"Mange":                            -9.461255,
+		"Many":                             -10.154402,
+		"Mark":                             -8.768108,
+		"Marmotta":                         -8.544964,
+		"Mauris":                           -10.154402,
+		"Med":                              -10.154402,
+		"Men":                              -9.461255,
+		"Merk":                             -7.018908,
+		"Metadata":                         -10.154402,
+		"Michael":                          -9.461255,
+		"Micro":                            -10.154402,
+		"Mines":                            -9.461255,
+		"Missing":                          -10.154402,
+		"Modifications":                    -10.154402,
+		"Modifisert":                       -9.461255,
+		"Moolenaar.":                       -9.461255,
+		"Morbi":                            -9.461255,
+		"MySQL":                            -8.208492,
+		"MySQL.":                           -10.154402,
+		"N":                                -6.628041,
+		"NCL":                              -9.055790,
+		"NECESSARY":                        -10.154402,
+		"NED":                              -9.461255,
+		"NEDENFOR":                         -8.768108,
+		"NO":                               -8.768108,
+		"NOT":                              -9.461255,
+		"NSF":                              -9.055790,
+		"NSS":                              -10.154402,
+		"Neste":                            -9.461255,
+		"Nettlesere":                       -10.154402,
+		"Netus":                            -10.154402,
+		"New":                              -9.055790,
+		"Noen":                             -8.362642,
+		"Non":                              -10.154402,
+		"Nonetheless":                      -10.154402,
+		"Numerisk":                         -10.154402,
+		"O":                                -7.669495,
+		"OF":                               -7.756507,
+		"OG":                               -8.074960,
+		"OM":                               -8.768108,
+		"OP":                               -9.461255,
+		"OPERATE":                          -10.154402,
+		"OPERATOREN":                       -9.461255,
+		"OPERATORER":                       -9.461255,
+		"OPERERE":                          -9.461255,
+		"OPPSTARTSSKRIPT":                  -9.461255,
+		"OPPSUMMERING":                     -7.515345,
+		"OR":                               -7.756507,
+		"OTHER":                            -8.768108,
+		"OTHERWISE":                        -10.154402,
+		"OUT":                              -10.154402,
+		"OVER":                             -8.362642,
+		"Of":                               -10.154402,
+		"Og":                               -9.461255,
+		"OpenBSD":                          -10.154402,
+		"Operatoren":                       -9.461255,
+		"Opprett":                          -9.461255,
+		"Oualline":                         -9.461255,
+		"Our":                              -10.154402,
+		"Oversatt":                         -9.461255,
+		"P":                                -7.957177,
+		"PARENTESER":                       -9.461255,
+		"PARTICULAR":                       -9.461255,
+		"PARTIES":                          -9.461255,
+		"PARTY":                            -9.461255,
+		"PDA":                              -10.154402,
+		"PERFORMANCE":                      -10.154402,
+		"PERMITTED":                        -9.461255,
+		"PNE":                              -9.461255,
+		"POSISJONERING":                    -9.461255,
+		"POSSIBILITY":                      -10.154402,
+		"PPP":                              -10.154402,
+		"PROGRAM":                          -8.074960,
+		"PROGRAMS":                         -10.154402,
+		"PROVE":                            -10.154402,
+		"PROVIDE":                          -10.154402,
+		"PUBLIC":                           -9.461255,
+		"PURPOSE.":                         -9.461255,
+		"Pakkene":                          -8.074960,
+		"Pakker":                           -6.896305,
+		"Pakkesystemet":                    -9.461255,
+		"Palm":                             -10.154402,
+		"Pellentesque":                     -9.461255,
+		"Perl":                             -8.768108,
+		"Perl.":                            -10.154402,
+		"Permission":                       -10.154402,
+		"Phasellus":                        -10.154402,
+		"Pierce":                           -9.461255,
+		"Pilot":                            -10.154402,
+		"Piltastene":                       -9.461255,
+		"Plasser":                          -8.362642,
+		"Please":                           -9.461255,
+		"Policy":                           -9.055790,
+		"PostgreSQL":                       -10.154402,
+		"Pr":                               -8.362642,
+		"Praesent":                         -10.154402,
+		"Preamble":                         -10.154402,
+		"President":                        -10.154402,
+		"Privacy":                          -9.055790,
+		"Program":                          -7.321189,
+		"Program.":                         -10.154402,
+		"Programmer":                       -7.957177,
+		"Programs":                         -10.154402,
+		"Programvare":                      -9.461255,
+		"Prototype":                        -9.055790,
+		"Public":                           -7.851817,
+		"Python":                           -8.768108,
+		"Q":                                -9.461255,
+		"QUALITY":                          -10.154402,
+		"R":                                -7.669495,
+		"RE":                               -9.461255,
+		"README":                           -10.154402,
+		"REDIGERE":                         -9.461255,
+		"REDIGERING":                       -8.362642,
+		"REDISTRIBUTE":                     -10.154402,
+		"REN":                              -8.768108,
+		"RENDERED":                         -10.154402,
+		"REPAIR":                           -10.154402,
+		"REQUIRED":                         -10.154402,
+		"RING":                             -9.461255,
+		"RISK":                             -10.154402,
+		"RPM":                              -10.154402,
+		"RReparer":                         -9.461255,
+		"RS":                               -9.461255,
+		"Read":                             -9.461255,
+		"Regents":                          -10.154402,
+		"Reilly":                           -9.461255,
+		"Removes":                          -10.154402,
+		"Repeter":                          -7.063359,
+		"Return":                           -9.055790,
+		"Returns":                          -10.154402,
+		"Riders":                           -9.461255,
+		"Robert":                           -9.461255,
+		"Roser":                            -8.768108,
+		"Ruby":                             -10.154402,
+		"S":                                -8.768108,
+		"SAMMENSL":                         -9.461255,
+		"SAMSVARENDE":                      -9.461255,
+		"SERVICING":                        -10.154402,
+		"SETT":                             -9.461255,
+		"SHOULD":                           -10.154402,
+		"SKAL":                             -9.461255,
+		"SLETTE":                           -9.461255,
+		"SLETTEKOMMANDOER":                 -8.768108,
+		"SOM":                              -9.461255,
+		"SPECIAL":                          -10.154402,
+		"STATED":                           -10.154402,
+		"STORE":                            -9.461255,
+		"SUCH":                             -9.461255,
+		"SUSTAINED":                        -10.154402,
+		"Samling":                          -10.154402,
+		"School":                           -9.461255,
+		"Se":                               -8.768108,
+		"Sed":                              -10.154402,
+		"See":                              -8.768108,
+		"Seksjonen":                        -10.154402,
+		"Selve":                            -10.154402,
+		"Sett":                             -7.851817,
+		"Should":                           -10.154402,
+		"Since":                            -9.461255,
+		"Skriv":                            -5.797693,
+		"Skrivebordssystemet":              -9.461255,
+		"Skriveprogram":                    -10.154402,
+		"Sl":                               -9.461255,
+		"Slett":                            -9.461255,
+		"Slutten":                          -8.768108,
+		"Smith":                            -9.461255,
+		"Software":                         -7.851817,
+		"Som":                              -9.461255,
+		"Spencer.":                         -10.154402,
+		"Spesielt":                         -9.461255,
+		"Spill":                            -10.154402,
+		"Sponsored":                        -9.055790,
+		"Start":                            -8.768108,
+		"State":                            -9.461255,
+		"Steve":                            -9.461255,
+		"Store":                            -10.154402,
+		"Street":                           -9.461255,
+		"Such":                             -10.154402,
+		"TE":                               -9.461255,
+		"TEKST":                            -7.851817,
+		"TELLER":                           -9.461255,
+		"TERMS":                            -9.461255,
+		"TEST":                             -6.896305,
+		"TEST.":                            -9.461255,
+		"THE":                              -7.381813,
+		"THERE":                            -10.154402,
+		"THE_TITLE":                        -9.055790,
+		"THE_URL":                          -9.055790,
+		"THIRD":                            -10.154402,
+		"TIL":                              -9.461255,
+		"TO":                               -8.074960,
+		"TOMCAT_HOME/lib":                  -10.154402,
+		"TOMCAT_HOME/webapps/marmotta/WEB": -10.154402,
+		"TUV":                              -9.461255,
+		"TeX":                              -8.208492,
+		"TeX.":                             -10.154402,
+		"Tekstverkt":                       -10.154402,
+		"Telegraph":                        -10.154402,
+		"Telephone":                        -10.154402,
+		"Terms":                            -8.768108,
+		"Test":                             -10.154402,
+		"The":                              -7.669495,
+		"Thermal":                          -10.154402,
+		"These":                            -10.154402,
+		"This":                             -7.669495,
+		"Tiden":                            -9.461255,
+		"Tips":                             -9.461255,
+		"Tivo.":                            -10.154402,
+		"To":                               -9.461255,
+		"Triple":                           -10.154402,
+		"Trykk":                            -5.435903,
+		"Ty":                               -9.461255,
+		"Type":                             -8.768108,
+		"U":                                -7.851817,
+		"UCAR":                             -9.055790,
+		"UNLESS":                           -10.154402,
+		"UNNTATT":                          -9.461255,
+		"URL":                              -10.154402,
+		"USA":                              -8.544964,
+		"USA.":                             -10.154402,
+		"USE":                              -9.461255,
+		"UTF":                              -9.461255,
+		"University":                       -10.154402,
+		"University.":                      -9.461255,
+		"Unix":                             -8.208492,
+		"Use":                              -9.055790,
+		"Usenet":                           -10.154402,
+		"Usenet.":                          -10.154402,
+		"Ut":                               -10.154402,
+		"Utf":                              -9.461255,
+		"Utgiver":                          -8.768108,
+		"Utviklingsfiler":                  -10.154402,
+		"V":                                -8.074960,
+		"VALG":                             -9.461255,
+		"VED":                              -9.461255,
+		"VELGE":                            -9.461255,
+		"VIM":                              -9.461255,
+		"VIM/_vimrc":                       -9.461255,
+		"VIMRUNTIME/vimrc_example.vim":     -9.461255,
+		"Vanlige":                          -10.154402,
+		"Ved":                              -7.515345,
+		"Velg":                             -9.461255,
+		"Verkt":                            -9.055790,
+		"Version":                          -9.461255,
+		"Vi":                               -7.381813,
+		"Vi.":                              -9.461255,
+		"Vice":                             -10.154402,
+		"Vim":                              -6.283201,
+		"Vim.":                             -8.362642,
+		"Vindussystemet":                   -10.154402,
+		"Virtuelle":                        -10.154402,
+		"Visual":                           -10.154402,
+		"Vivre":                            -10.154402,
+		"W":                                -8.074960,
+		"WARRANTIES":                       -10.154402,
+		"WARRANTY":                         -8.544964,
+		"WHEN":                             -10.154402,
+		"WHO":                              -10.154402,
+		"WILL":                             -10.154402,
+		"WITH":                             -9.461255,
+		"WITHOUT":                          -9.461255,
+		"WRITING":                          -9.461255,
+		"Ware":                             -9.461255,
+		"Web":                              -10.154402,
+		"Webmaster":                        -9.055790,
+		"Whether":                          -10.154402,
+		"Windows":                          -9.461255,
+		"X":                                -7.321189,
+		"Y":                                -7.669495,
+		"YOU":                              -9.055790,
+		"YOU.":                             -10.154402,
+		"Ymse":                             -10.154402,
+		"You":                              -8.768108,
+		"Your":                             -10.154402,
+		"Yoyodyne":                         -10.154402,
+		"Z":                                -9.461255,
+		"[":                                -7.209963,
+		"\\":                               -6.011267,
+		"]":                                -7.321189,
+		"^":                                -9.461255,
+		"_AT_":                             -9.461255,
+		"_p":                               -9.055790,
+		"`":                                -8.768108,
+		"a":                                -6.203158,
+		"a.":                               -10.154402,
+		"able":                             -10.154402,
+		"ac":                               -9.055790,
+		"accumsan":                         -9.461255,
+		"achieve":                          -10.154402,
+		"act":                              -10.154402,
+		"add":                              -9.461255,
+		"address":                          -10.154402,
+		"addressed":                        -10.154402,
+		"adipiscing":                       -10.154402,
+		"admin":                            -10.154402,
+		"administrative":                   -10.154402,
+		"advanced":                         -10.154402,
+		"agreement":                        -10.154402,
+		"agurk":                            -9.461255,
+		"akkurat":                          -8.768108,
+		"aktiv":                            -9.461255,
+		"alcool.":                          -10.154402,
+		"algebra":                          -10.154402,
+		"algoritmer.":                      -10.154402,
+		"alien":                            -9.461255,
+		"all":                              -8.544964,
+		"all.":                             -9.461255,
+		"alle":                             -6.688666,
+		"allegation":                       -10.154402,
+		"allerede":                         -8.544964,
+		"allowed":                          -10.154402,
+		"allowed.":                         -10.154402,
+		"alltid":                           -9.461255,
+		"along":                            -10.154402,
+		"also":                             -10.154402,
+		"alt":                              -8.074960,
+		"alter":                            -9.461255,
+		"altered.":                         -10.154402,
+		"alternative":                      -10.154402,
+		"alts":                             -9.461255,
+		"amet":                             -10.154402,
+		"among":                            -10.154402,
+		"an":                               -8.544964,
+		"analyse":                          -10.154402,
+		"anbefales":                        -8.768108,
+		"and":                              -6.490840,
+		"and/or":                           -8.768108,
+		"andre":                            -6.628041,
+		"andre.":                           -8.362642,
+		"andreosfs":                        -10.154402,
+		"angre":                            -7.851817,
+		"angre.":                           -9.461255,
+		"angrekommandoene":                 -9.461255,
+		"angringen":                        -9.461255,
+		"annen":                            -8.362642,
+		"annet":                            -7.957177,
+		"annual":                           -9.461255,
+		"another":                          -10.154402,
+		"antall":                           -7.851817,
+		"any":                              -7.109879,
+		"anyone":                           -10.154402,
+		"appear":                           -9.461255,
+		"application":                      -8.768108,
+		"applications":                     -10.154402,
+		"applied":                          -9.461255,
+		"applies":                          -9.055790,
+		"apply":                            -9.461255,
+		"appropriate":                      -10.154402,
+		"apps":                             -9.461255,
+		"apps/sed":                         -8.074960,
+		"arbeid":                           -10.154402,
+		"arbeide.":                         -10.154402,
+		"arcu":                             -10.154402,
+		"are":                              -7.669495,
+		"arise":                            -10.154402,
+		"arkivene.":                        -10.154402,
+		"array":                            -8.074960,
+		"array.":                           -9.461255,
+		"as":                               -7.515345,
+		"ask":                              -10.154402,
+		"assemblere":                       -10.154402,
+		"astronomi":                        -10.154402,
+		"at":                               -6.043528,
+		"attach":                           -9.461255,
+		"attribute":                        -10.154402,
+		"author":                           -8.768108,
+		"author/donor":                     -10.154402,
+		"authors":                          -10.154402,
+		"automatically":                    -10.154402,
+		"av":                               -4.886544,
+		"avbryter":                         -9.461255,
+		"average":                          -9.461255,
+		"avhenger":                         -8.768108,
+		"avhengig":                         -9.461255,
+		"avhengige":                        -9.461255,
+		"avluse":                           -10.154402,
+		"avlusingsverkt":                   -10.154402,
+		"avslutt":                          -9.461255,
+		"avslutte":                         -8.768108,
+		"avslutte.":                        -9.461255,
+		"avslutter":                        -9.461255,
+		"avsluttes":                        -9.461255,
+		"avsluttet":                        -9.461255,
+		"away":                             -10.154402,
+		"awful":                            -10.154402,
+		"b":                                -7.851817,
+		"b.":                               -10.154402,
+		"backend":                          -10.154402,
+		"backends":                         -10.154402,
+		"bakover":                          -9.461255,
+		"balance":                          -10.154402,
+		"ball":                             -10.154402,
+		"bare":                             -7.957177,
+		"base/kdelibs":                     -9.461255,
+		"based":                            -10.154402,
+		"baserte":                          -10.154402,
+		"basket":                           -10.154402,
+		"be":                               -7.158670,
+		"beaucoup":                         -10.154402,
+		"because":                          -10.154402,
+		"been":                             -10.154402,
+		"begynne":                          -9.461255,
+		"begynnelsen":                      -8.362642,
+		"beh":                              -10.154402,
+		"behersker":                        -9.461255,
+		"being":                            -10.154402,
+		"believed":                         -10.154402,
+		"below":                            -10.154402,
+		"beregnet":                         -8.768108,
+		"beslektede":                       -10.154402,
+		"best":                             -9.461255,
+		"beste":                            -9.461255,
+		"bestemt":                          -8.768108,
+		"betingelsene":                     -10.154402,
+		"betyr":                            -8.544964,
+		"bevege":                           -8.768108,
+		"bevegelse":                        -6.976348,
+		"bevegelse.":                       -9.461255,
+		"bevegelsen":                       -7.669495,
+		"bevegelser":                       -8.768108,
+		"beveger":                          -9.461255,
+		"beveges":                          -9.461255,
+		"bibliotek":                        -10.154402,
+		"biblioteker":                      -8.362642,
+		"bidrag":                           -10.154402,
+		"bien.":                            -10.154402,
+		"bil":                              -9.461255,
+		"bildebehandlingsprogrammer":       -10.154402,
+		"bildefiler":                       -10.154402,
+		"binaries":                         -10.154402,
+		"biologi":                          -10.154402,
+		"bl":                               -8.768108,
+		"blant":                            -10.154402,
+		"ble":                              -7.851817,
+		"bli":                              -8.362642,
+		"blir":                             -7.381813,
+		"blitt":                            -8.768108,
+		"bo":                               -10.154402,
+		"body":                             -10.154402,
+		"bok":                              -9.461255,
+		"boken":                            -8.362642,
+		"bokstaven":                        -9.461255,
+		"bokstaver":                        -8.362642,
+		"bokstaver.":                       -8.362642,
+		"bolk":                             -10.154402,
+		"bolk.":                            -10.154402,
+		"bolken":                           -6.516816,
+		"bolken.":                          -9.461255,
+		"both":                             -10.154402,
+		"br":                               -9.461255,
+		"brenne":                           -10.154402,
+		"brief":                            -10.154402,
+		"brrr":                             -9.461255,
+		"bruk":                             -7.381813,
+		"bruk.":                            -8.768108,
+		"bruke":                            -6.935526,
+		"bruken":                           -9.461255,
+		"bruker":                           -8.208492,
+		"brukere":                          -9.461255,
+		"brukes":                           -9.461255,
+		"bruksanvisningen":                 -9.461255,
+		"brukt":                            -8.544964,
+		"brukte.":                          -9.461255,
+		"buffer":                           -9.461255,
+		"bunnen":                           -7.851817,
+		"but":                              -9.055790,
+		"bware@mines.colorado.edu":         -9.461255,
+		"by":                               -6.935526,
+		"c":                                -7.018908,
+		"c_CTRL":                           -9.461255,
+		"ca.":                              -9.461255,
+		"called":                           -10.154402,
+		"can":                              -9.461255,
+		"cannot":                           -9.461255,
+		"carton.":                          -10.154402,
+		"case":                             -10.154402,
+		"cat":                              -9.461255,
+		"ce":                               -8.074960,
+		"certain":                          -9.461255,
+		"change":                           -9.055790,
+		"changing":                         -10.154402,
+		"chanson":                          -10.154402,
+		"choice.":                          -10.154402,
+		"choose":                           -10.154402,
+		"cibl":                             -10.154402,
+		"circumstance":                     -10.154402,
+		"circumstances.":                   -10.154402,
+		"claim":                            -10.154402,
+		"claims":                           -9.461255,
+		"clear":                            -10.154402,
+		"clicks":                           -10.154402,
+		"code":                             -10.154402,
+		"comes":                            -10.154402,
+		"commands":                         -9.461255,
+		"compilers":                        -10.154402,
+		"compliance":                       -10.154402,
+		"compression":                      -9.461255,
+		"computer":                         -10.154402,
+		"computes":                         -10.154402,
+		"concerns.":                        -10.154402,
+		"conditions":                       -8.362642,
+		"connect":                          -10.154402,
+		"connector":                        -8.768108,
+		"consectetur":                      -9.461255,
+		"consequence":                      -9.055790,
+		"consequences":                     -10.154402,
+		"consider":                         -10.154402,
+		"consistent":                       -10.154402,
+		"constitute":                       -10.154402,
+		"contact":                          -10.154402,
+		"containing":                       -10.154402,
+		"contains":                         -9.461255,
+		"contents":                         -10.154402,
+		"contest":                          -10.154402,
+		"contradict":                       -10.154402,
+		"contrast":                         -10.154402,
+		"contributions":                    -10.154402,
+		"convallis":                        -10.154402,
+		"convey":                           -10.154402,
+		"copies":                           -9.055790,
+		"copy":                             -8.768108,
+		"copying":                          -9.461255,
+		"copyright":                        -8.768108,
+		"copyrighted":                      -9.461255,
+		"corer.":                           -10.154402,
+		"could":                            -9.461255,
+		"countries":                        -9.055790,
+		"course":                           -10.154402,
+		"court":                            -9.461255,
+		"covered":                          -9.461255,
+		"credits":                          -9.461255,
+		"cycle":                            -9.461255,
+		"d":                                -6.787106,
+		"da":                               -8.362642,
+		"danner":                           -9.461255,
+		"dans":                             -10.154402,
+		"data":                             -8.768108,
+		"data.":                            -10.154402,
+		"database":                         -10.154402,
+		"database.":                        -10.154402,
+		"datakraft":                        -10.154402,
+		"datasystemer":                     -10.154402,
+		"dd":                               -7.851817,
+		"de":                               -6.440830,
+		"decide":                           -10.154402,
+		"decision":                         -10.154402,
+		"dedisert":                         -9.461255,
+		"deen":                             -7.851817,
+		"define":                           -10.154402,
+		"deg":                              -6.657894,
+		"deg.":                             -9.461255,
+		"del":                              -7.957177,
+		"deler":                            -8.768108,
+		"delvis":                           -9.461255,
+		"delvise":                          -9.461255,
+		"dem":                              -7.209963,
+		"dem.":                             -10.154402,
+		"den":                              -5.286867,
+		"den.":                             -8.362642,
+		"denne":                            -6.043528,
+		"denne.":                           -8.768108,
+		"depends":                          -10.154402,
+		"der":                              -7.264030,
+		"der.":                             -8.768108,
+		"deretter":                         -7.264030,
+		"derfor":                           -9.461255,
+		"deriblant":                        -10.154402,
+		"derivative":                       -10.154402,
+		"derivatives":                      -10.154402,
+		"des":                              -10.154402,
+		"design":                           -10.154402,
+		"designed":                         -10.154402,
+		"det":                              -5.836914,
+		"det.":                             -8.074960,
+		"detail":                           -10.154402,
+		"details":                          -10.154402,
+		"details.":                         -9.461255,
+		"dette":                            -7.158670,
+		"dette.":                           -9.461255,
+		"develop":                          -10.154402,
+		"dfnne":                            -9.461255,
+		"diam":                             -9.055790,
+		"differ":                           -10.154402,
+		"different":                        -9.461255,
+		"digitalt":                         -10.154402,
+		"dignissim":                        -10.154402,
+		"dim_max":                          -10.154402,
+		"dim_max_n":                        -10.154402,
+		"dim_min":                          -10.154402,
+		"dim_min_n":                        -10.154402,
+		"dimension":                        -9.461255,
+		"dimension.":                       -10.154402,
+		"dimensional":                      -9.461255,
+		"dimensionality":                   -10.154402,
+		"dimensionality.":                  -10.154402,
+		"dimensioned":                      -10.154402,
+		"dimsizes":                         -10.154402,
+		"dine":                             -9.461255,
+		"dine.":                            -9.461255,
+		"dir":                              -7.381813,
+		"directly":                         -10.154402,
+		"directory":                        -9.461255,
+		"direkte":                          -9.055790,
+		"dis":                              -10.154402,
+		"disable":                          -6.516816,
+		"disclaims":                        -10.154402,
+		"disken":                           -9.461255,
+		"disketter":                        -10.154402,
+		"disse":                            -8.208492,
+		"distinguishing":                   -10.154402,
+		"distribuere":                      -10.154402,
+		"distribuerte":                     -10.154402,
+		"distribusjonen":                   -10.154402,
+		"distribute":                       -8.362642,
+		"distributed":                      -9.055790,
+		"distribution":                     -8.074960,
+		"distribution.":                    -10.154402,
+		"dit":                              -9.055790,
+		"ditt":                             -9.055790,
+		"diverse":                          -10.154402,
+		"do":                               -9.055790,
+		"doc":                              -8.768108,
+		"document":                         -10.154402,
+		"documentation.":                   -9.461255,
+		"does":                             -9.055790,
+		"does.":                            -10.154402,
+		"dok":                              -10.154402,
+		"dokumentasjon":                    -10.154402,
+		"dokumenter":                       -9.461255,
+		"dokumenterer":                     -10.154402,
+		"dolor":                            -10.154402,
+		"download":                         -10.154402,
+		"downloading":                      -10.154402,
+		"drivere":                          -10.154402,
+		"du":                               -4.928655,
+		"dvendig":                          -10.154402,
+		"dvendig.":                         -9.461255,
+		"dvendige":                         -10.154402,
+		"dvendigvis":                       -10.154402,
+		"dw":                               -8.074960,
+		"e":                                -6.976348,
+		"e.g.":                             -9.461255,
+		"each":                             -9.055790,
+		"edit":                             -8.768108,
+		"editor":                           -8.768108,
+		"editoren":                         -8.074960,
+		"effectively":                      -10.154402,
+		"egenskap.":                        -10.154402,
+		"egestas":                          -10.154402,
+		"eget":                             -9.055790,
+		"egne":                             -10.154402,
+		"either":                           -8.362642,
+		"eksakt":                           -9.461255,
+		"eksempel":                         -7.515345,
+		"eksempelfilen":                    -9.461255,
+		"eksempelvis":                      -9.461255,
+		"eksempler":                        -8.768108,
+		"eksisterende":                     -8.768108,
+		"eksperimentering.":                -9.461255,
+		"eksperter":                        -10.154402,
+		"eksporteres":                      -10.154402,
+		"eksporteringsreglene":             -10.154402,
+		"eksportforbud.":                   -10.154402,
+		"ekstern":                          -8.074960,
+		"eksterne":                         -9.461255,
+		"eldre":                            -9.055790,
+		"electronic":                       -10.154402,
+		"eleifend":                         -10.154402,
+		"elektriske":                       -9.461255,
+		"elektronikk":                      -9.461255,
+		"elektronisk":                      -10.154402,
+		"elementet":                        -9.461255,
+		"elit":                             -10.154402,
+		"elit.":                            -10.154402,
+		"eller":                            -6.370212,
+		"else":                             -10.154402,
+		"employer":                         -10.154402,
+		"en":                               -4.917960,
+		"en.":                              -9.461255,
+		"enable":                           -6.516816,
+		"enda":                             -8.768108,
+		"enda.":                            -9.461255,
+		"ende":                             -8.768108,
+		"endringene":                       -9.461255,
+		"endringer":                        -9.055790,
+		"endringer.":                       -9.461255,
+		"eneste":                           -9.461255,
+		"enforcing":                        -10.154402,
+		"engelsk":                          -9.461255,
+		"enheter":                          -10.154402,
+		"enim.":                            -10.154402,
+		"enkel":                            -9.461255,
+		"enn":                              -7.756507,
+		"enten":                            -9.461255,
+		"entirely":                         -10.154402,
+		"eple":                             -9.461255,
+		"epost":                            -10.154402,
+		"eposten":                          -10.154402,
+		"epostlesere":                      -10.154402,
+		"epostlister":                      -10.154402,
+		"epostmeldinger":                   -10.154402,
+		"er":                               -4.729452,
+		"eros":                             -10.154402,
+		"erssstatt":                        -9.461255,
+		"erstatning":                       -8.362642,
+		"erstatningen":                     -9.461255,
+		"erstatningsmodus":                 -9.461255,
+		"erstatningsmodusen.":              -9.461255,
+		"erstatte":                         -7.515345,
+		"erstatter":                        -8.362642,
+		"erstattes":                        -9.461255,
+		"est":                              -9.461255,
+		"et":                               -6.011267,
+		"ett":                              -8.768108,
+		"etter":                            -6.935526,
+		"etter.":                           -8.768108,
+		"etterf":                           -9.461255,
+		"etterfulgt":                       -8.074960,
+		"etterlikner":                      -9.461255,
+		"eu":                               -10.154402,
+		"even":                             -9.055790,
+		"ever":                             -9.055790,
+		"everyone":                         -9.461255,
+		"example":                          -10.154402,
+		"exceptions":                       -10.154402,
+		"excluded.":                        -10.154402,
+		"excluding":                        -10.154402,
+		"exclusion":                        -10.154402,
+		"excuse":                           -10.154402,
+		"exercise":                         -10.154402,
+		"explicit":                         -9.461255,
+		"f":                                -5.519673,
+		"f.eks":                            -10.154402,
+		"f.eks.":                           -9.461255,
+		"faksmodem":                        -10.154402,
+		"faksmodemer":                      -10.154402,
+		"faller":                           -10.154402,
+		"fames":                            -10.154402,
+		"fant":                             -9.461255,
+		"favorittvalgene":                  -9.461255,
+		"feeeiil":                          -8.362642,
+		"feiilene":                         -9.461255,
+		"feil":                             -7.957177,
+		"feil.":                            -9.461255,
+		"feile":                            -9.461255,
+		"feilen.":                          -8.362642,
+		"feilene":                          -8.768108,
+		"feils":                            -9.461255,
+		"feite":                            -9.461255,
+		"felis":                            -9.461255,
+		"felis.":                           -10.154402,
+		"felles":                           -10.154402,
+		"femte":                            -9.461255,
+		"ferd":                             -10.154402,
+		"feugiat":                          -10.154402,
+		"feugiat.":                         -10.154402,
+		"few":                              -9.461255,
+		"fikse":                            -9.461255,
+		"fikset":                           -9.461255,
+		"fil":                              -7.669495,
+		"fil.":                             -8.768108,
+		"fila":                             -9.461255,
+		"file":                             -8.362642,
+		"filen":                            -6.516816,
+		"filen.":                           -7.381813,
+		"filene":                           -8.362642,
+		"filer":                            -8.768108,
+		"files":                            -10.154402,
+		"filformater":                      -10.154402,
+		"filnavn":                          -8.362642,
+		"filnavnet":                        -8.768108,
+		"filstatusen.":                     -8.768108,
+		"filsystem":                        -10.154402,
+		"filter":                           -10.154402,
+		"fingrene.":                        -9.461255,
+		"finne":                            -7.957177,
+		"finner":                           -8.362642,
+		"finnes":                           -8.544964,
+		"finnes.":                          -10.154402,
+		"fins":                             -9.461255,
+		"fjerde":                           -9.461255,
+		"fjerne":                           -9.461255,
+		"flagget":                          -9.461255,
+		"flaws":                            -10.154402,
+		"flere":                            -7.957177,
+		"fleste":                           -10.154402,
+		"flesteparten":                     -9.461255,
+		"flette":                           -10.154402,
+		"flytt":                            -7.851817,
+		"flytte":                           -7.669495,
+		"flytter":                          -8.544964,
+		"flyttes":                          -9.461255,
+		"flyttet":                          -10.154402,
+		"follow.":                          -10.154402,
+		"following":                        -9.055790,
+		"foo":                              -10.154402,
+		"for":                              -4.340271,
+		"foran":                            -8.362642,
+		"forandre":                         -7.669495,
+		"forandrer":                        -8.768108,
+		"forandres":                        -9.461255,
+		"forandres.":                       -8.768108,
+		"forandringene":                    -8.768108,
+		"forandringene.":                   -9.461255,
+		"forandringer":                     -8.362642,
+		"forandringskommando":              -9.461255,
+		"forblir":                          -9.461255,
+		"fordi":                            -10.154402,
+		"foreg":                            -8.768108,
+		"foreign":                          -10.154402,
+		"forekomst":                        -8.768108,
+		"forekomsten":                      -9.461255,
+		"forekomster":                      -8.074960,
+		"foreldede":                        -10.154402,
+		"foretrukne":                       -9.461255,
+		"forkaste":                         -9.461255,
+		"forkaster":                        -9.461255,
+		"forklart":                         -9.461255,
+		"forkw":                            -9.461255,
+		"form":                             -9.461255,
+		"format":                           -8.768108,
+		"format.":                          -10.154402,
+		"formatere":                        -10.154402,
+		"forsikre":                         -9.461255,
+		"forskjellen":                      -9.461255,
+		"forskjellig":                      -10.154402,
+		"forskjellige":                     -9.055790,
+		"forskjelling":                     -9.461255,
+		"forst":                            -8.768108,
+		"forsvinne.":                       -9.461255,
+		"fortsatt":                         -10.154402,
+		"fortsette":                        -9.461255,
+		"found.":                           -10.154402,
+		"fra":                              -6.688666,
+		"fram":                             -9.055790,
+		"framover":                         -9.461255,
+		"framover.":                        -8.768108,
+		"free":                             -7.756507,
+		"freedom":                          -9.461255,
+		"fremmede":                         -10.154402,
+		"fri":                              -8.208492,
+		"frie":                             -10.154402,
+		"fringilla":                        -9.461255,
+		"from":                             -7.851817,
+		"full":                             -10.154402,
+		"fullf":                            -7.851817,
+		"fullt":                            -9.461255,
+		"function":                         -8.362642,
+		"fungerer":                         -8.768108,
+		"funksjoner":                       -8.208492,
+		"funnet.":                          -9.461255,
+		"fut":                              -10.154402,
+		"fyller":                           -9.461255,
+		"g":                                -5.759953,
+		"gaaata.":                          -9.461255,
+		"gamle":                            -9.055790,
+		"gammel":                           -8.362642,
+		"gang":                             -8.074960,
+		"gang.":                            -9.461255,
+		"ganger":                           -8.362642,
+		"ganger.":                          -8.768108,
+		"ganske":                           -9.461255,
+		"gata.":                            -9.461255,
+		"generally.":                       -10.154402,
+		"generous":                         -10.154402,
+		"gentoo":                           -8.768108,
+		"geographical":                     -10.154402,
+		"get":                              -9.461255,
+		"gg":                               -8.768108,
+		"give":                             -10.154402,
+		"given":                            -10.154402,
+		"gj":                               -7.264030,
+		"gjennom":                          -8.074960,
+		"gjenopprette":                     -9.461255,
+		"gjenv":                            -9.461255,
+		"gjorde":                           -9.461255,
+		"gjorde.":                          -9.461255,
+		"gjort":                            -9.461255,
+		"gjort.":                           -9.461255,
+		"glem":                             -9.461255,
+		"glemme":                           -9.461255,
+		"global":                           -9.461255,
+		"glx":                              -10.154402,
+		"gnome":                            -9.055790,
+		"goals":                            -10.154402,
+		"god":                              -9.461255,
+		"godkjenne":                        -9.461255,
+		"grafikk":                          -10.154402,
+		"grafikk.":                         -10.154402,
+		"grafikkfiler":                     -10.154402,
+		"granted":                          -9.461255,
+		"greatest":                         -10.154402,
+		"green":                            -10.154402,
+		"grensesnitt":                      -9.461255,
+		"grunn":                            -8.768108,
+		"grunnpakka":                       -10.154402,
+		"grunnsystem":                      -9.461255,
+		"grupper.":                         -10.154402,
+		"guarantee":                        -10.154402,
+		"guided":                           -10.154402,
+		"h":                                -6.935526,
+		"ha":                               -8.362642,
+		"habitant":                         -10.154402,
+		"hadde":                            -8.768108,
+		"hakeparentesen.":                  -9.461255,
+		"hamradio":                         -10.154402,
+		"handler":                          -9.461255,
+		"har":                              -6.543484,
+		"has":                              -9.461255,
+		"have":                             -8.544964,
+		"having":                           -10.154402,
+		"he":                               -10.154402,
+		"hel":                              -8.362642,
+		"held":                             -10.154402,
+		"hele":                             -7.669495,
+		"help":                             -7.063359,
+		"helst":                            -8.768108,
+		"helt":                             -7.515345,
+		"hende":                            -10.154402,
+		"henger":                           -10.154402,
+		"henter":                           -8.768108,
+		"hentet":                           -9.461255,
+		"her":                              -8.768108,
+		"her.":                             -9.461255,
+		"hereby":                           -10.154402,
+		"herein.":                          -10.154402,
+		"herfra.":                          -9.461255,
+		"hj":                               -9.461255,
+		"hjelp":                            -7.851817,
+		"hjelpen":                          -9.461255,
+		"hjelper":                          -9.055790,
+		"hjelpesystem.":                    -9.461255,
+		"hjelpesystemet.":                  -9.461255,
+		"hjelpevindu.":                     -9.461255,
+		"hjelpevinduet":                    -9.461255,
+		"hjelpevinduet.":                   -8.768108,
+		"hjemme":                           -8.768108,
+		"hjkl":                             -8.768108,
+		"hls":                              -8.768108,
+		"hlsearch":                         -8.768108,
+		"hold":                             -9.461255,
+		"holder":                           -9.461255,
+		"holland.org/click":                -9.461255,
+		"hope":                             -10.154402,
+		"hoppe":                            -8.768108,
+		"hoved":                            -10.154402,
+		"hovedarkiv":                       -10.154402,
+		"hovedbolken.":                     -10.154402,
+		"how":                              -9.461255,
+		"html":                             -9.461255,
+		"http":                             -8.074960,
+		"huske":                            -8.768108,
+		"husker":                           -9.461255,
+		"hva":                              -7.321189,
+		"hver":                             -8.768108,
+		"hvert":                            -9.461255,
+		"hvilke":                           -9.461255,
+		"hvilken":                          -9.461255,
+		"hvis":                             -7.018908,
+		"hvor":                             -8.362642,
+		"hvordan":                          -8.362642,
+		"hypothetical":                     -10.154402,
+		"i":                                -4.871198,
+		"iaculis":                          -9.461255,
+		"ic":                               -8.362642,
+		"id":                               -9.055790,
+		"id.":                              -10.154402,
+		"idea":                             -10.154402,
+		"if":                               -7.851817,
+		"igjen":                            -8.074960,
+		"igjen.":                           -9.461255,
+		"ignore":                           -8.768108,
+		"ignorecase":                       -9.461255,
+		"ignored":                          -10.154402,
+		"ignorer":                          -9.461255,
+		"ignorere":                         -9.461255,
+		"ignorerer":                        -9.461255,
+		"ignorering":                       -9.461255,
+		"ii":                               -9.461255,
+		"ikke":                             -6.283201,
+		"ikke.":                            -9.461255,
+		"il":                               -9.055790,
+		"illustrasjoner.":                  -9.461255,
+		"im/empathy":                       -9.461255,
+		"implemented":                      -10.154402,
+		"implied":                          -10.154402,
+		"impose":                           -10.154402,
+		"imposed":                          -10.154402,
+		"in":                               -7.264030,
+		"in/min.shtml":                     -10.154402,
+		"included":                         -10.154402,
+		"including":                        -10.154402,
+		"incorporate":                      -10.154402,
+		"incorporates":                     -10.154402,
+		"incorporating":                    -10.154402,
+		"incsearch":                        -8.768108,
+		"independent":                      -10.154402,
+		"index":                            -9.461255,
+		"indirectly":                       -10.154402,
+		"induce":                           -10.154402,
+		"informasjon":                      -8.074960,
+		"information":                      -10.154402,
+		"infringe":                         -10.154402,
+		"infringement":                     -10.154402,
+		"ingen":                            -9.055790,
+		"injen":                            -9.461255,
+		"inn":                              -6.060057,
+		"inn.":                             -8.074960,
+		"inne":                             -9.461255,
+		"innebygd":                         -10.154402,
+		"innebygde":                        -8.768108,
+		"innebygget":                       -9.461255,
+		"inneholder":                       -7.957177,
+		"innf":                             -7.063359,
+		"innholdet":                        -9.461255,
+		"innsatt":                          -9.461255,
+		"innsettingsmodus":                 -9.461255,
+		"innsettingsmodus.":                -8.362642,
+		"innsettingsmodusen":               -9.461255,
+		"innsettingsmodusen.":              -8.768108,
+		"input":                            -8.768108,
+		"insert":                           -9.461255,
+		"insettingsmodus":                  -9.461255,
+		"installasjonen.":                  -10.154402,
+		"installere":                       -8.544964,
+		"installerer":                      -9.461255,
+		"installert":                       -10.154402,
+		"installert.":                      -10.154402,
+		"installing":                       -10.154402,
+		"instead":                          -10.154402,
+		"integrity":                        -10.154402,
+		"intended":                         -8.768108,
+		"interactive":                      -9.461255,
+		"interdum":                         -10.154402,
+		"interest":                         -10.154402,
+		"interested":                       -10.154402,
+		"interfaces":                       -10.154402,
+		"internettforbindelse":             -10.154402,
+		"interrupt.":                       -10.154402,
+		"into":                             -9.055790,
+		"intro":                            -9.461255,
+		"introduced":                       -10.154402,
+		"invalid":                          -10.154402,
+		"ipsum":                            -10.154402,
+		"is":                               -6.347739,
+		"issues":                           -10.154402,
+		"istedenfor":                       -8.768108,
+		"it":                               -7.109879,
+		"it.":                              -9.461255,
+		"items":                            -10.154402,
+		"its":                              -8.768108,
+		"j":                                -7.381813,
+		"java":                             -10.154402,
+		"jobba":                            -10.154402,
+		"jobbe":                            -10.154402,
+		"judgment":                         -10.154402,
+		"justo":                            -9.055790,
+		"justo.":                           -10.154402,
+		"k":                                -7.209963,
+		"kalkulatorer":                     -10.154402,
+		"kaller":                           -9.461255,
+		"kamera":                           -10.154402,
+		"kan":                              -6.440830,
+		"kaste":                            -9.461255,
+		"katalogen":                        -8.768108,
+		"katalogen.":                       -8.362642,
+		"kategoriene.":                     -10.154402,
+		"kde":                              -8.544964,
+		"kekommando":                       -9.461255,
+		"kekommandoen":                     -9.461255,
+		"kene.":                            -10.154402,
+		"ker":                              -10.154402,
+		"kermit":                           -10.154402,
+		"kernel":                           -10.154402,
+		"kestreng":                         -9.461255,
+		"kestrengen":                       -9.461255,
+		"ket":                              -9.461255,
+		"ketekst":                          -8.362642,
+		"keteksten":                        -9.461255,
+		"ketreff":                          -9.461255,
+		"keys.":                            -10.154402,
+		"kildekode":                        -10.154402,
+		"king":                             -9.461255,
+		"kingen":                           -9.461255,
+		"kj":                               -7.851817,
+		"kjemi":                            -10.154402,
+		"klassifisere":                     -10.154402,
+		"klienter":                         -9.461255,
+		"know":                             -10.154402,
+		"knyttet":                          -10.154402,
+		"koble":                            -10.154402,
+		"kolon":                            -9.461255,
+		"kom":                              -9.461255,
+		"kombinasjonen":                    -9.461255,
+		"komfortabel":                      -9.461255,
+		"kommandio.":                       -9.461255,
+		"kommando":                         -7.515345,
+		"kommando.":                        -8.362642,
+		"kommandoen":                       -6.896305,
+		"kommandoen.":                      -7.851817,
+		"kommandoene":                      -8.074960,
+		"kommandoene.":                     -9.461255,
+		"kommandoer":                       -7.669495,
+		"kommandoer.":                      -8.074960,
+		"kommandolinja":                    -9.461255,
+		"kommandolinje.":                   -10.154402,
+		"kommandolinjekommando.":           -9.461255,
+		"kommandolinjen":                   -8.362642,
+		"kommandonavnet":                   -9.461255,
+		"komme":                            -8.362642,
+		"kommer":                           -9.461255,
+		"kommunikasjonsenheter":            -10.154402,
+		"kommunisere":                      -10.154402,
+		"kompatibel":                       -9.461255,
+		"kompilatorer":                     -10.154402,
+		"kompilerer":                       -9.461255,
+		"komplett":                         -9.461255,
+		"konsollmilj":                      -10.154402,
+		"kopi":                             -8.362642,
+		"kopier":                           -8.768108,
+		"kopiere":                          -8.768108,
+		"kopierer":                         -8.768108,
+		"korrekt":                          -9.461255,
+		"korrekt.":                         -9.461255,
+		"korrekte":                         -9.461255,
+		"kort":                             -9.461255,
+		"kraftig":                          -9.461255,
+		"kretser":                          -9.461255,
+		"krever":                           -10.154402,
+		"kreves":                           -9.461255,
+		"kryptografi":                      -10.154402,
+		"kryptografiske":                   -10.154402,
+		"kun":                              -8.768108,
+		"kunne":                            -8.544964,
+		"kuttes":                           -9.461255,
+		"kvalitet.":                        -10.154402,
+		"kzryas":                           -9.461255,
+		"l":                                -6.720415,
+		"l.":                               -9.461255,
+		"la":                               -8.768108,
+		"lacinia":                          -10.154402,
+		"lacus":                            -10.154402,
+		"lage":                             -8.208492,
+		"laget":                            -8.544964,
+		"laget.":                           -10.154402,
+		"lagre":                            -7.669495,
+		"lagrer":                           -8.362642,
+		"lagres":                           -10.154402,
+		"lagret":                           -9.055790,
+		"lagt":                             -9.461255,
+		"langt":                            -9.461255,
+		"language.":                        -10.154402,
+		"lar":                              -7.756507,
+		"lat":                              -10.154402,
+		"later":                            -9.461255,
+		"later.":                           -10.154402,
+		"lavniv":                           -10.154402,
+		"law":                              -10.154402,
+		"le":                               -9.461255,
+		"least":                            -9.461255,
+		"leg":                              -9.461255,
+		"legal":                            -10.154402,
+		"legg":                             -8.362642,
+		"legge":                            -6.976348,
+		"legger":                           -8.362642,
+		"legges":                           -8.768108,
+		"leket":                            -10.154402,
+		"leksjon":                          -6.753205,
+		"leksjon.":                         -8.362642,
+		"leksjonen":                        -8.074960,
+		"leksjonene":                       -9.461255,
+		"leksjonene.":                      -9.461255,
+		"leksjoner":                        -9.461255,
+		"lengre":                           -9.461255,
+		"lengst":                           -9.461255,
+		"leo":                              -10.154402,
+		"ler":                              -8.362642,
+		"les":                              -8.768108,
+		"lesbar.":                          -9.461255,
+		"lese":                             -8.208492,
+		"leseprogrammer":                   -10.154402,
+		"leser":                            -8.362642,
+		"lesing":                           -9.461255,
+		"lest":                             -9.461255,
+		"lete":                             -7.515345,
+		"lett":                             -9.055790,
+		"lettbrukt":                        -9.461255,
+		"lette":                            -10.154402,
+		"lettere":                          -9.461255,
+		"lge.":                             -9.461255,
+		"lgende":                           -9.461255,
+		"lger.":                            -9.461255,
+		"li":                               -8.768108,
+		"libSSL":                           -10.154402,
+		"libdevel":                         -10.154402,
+		"libero.":                          -10.154402,
+		"library":                          -8.544964,
+		"library.":                         -10.154402,
+		"libs":                             -9.461255,
+		"libs/gtk":                         -9.461255,
+		"libs/libgd":                       -7.446352,
+		"libs/qt":                          -9.461255,
+		"libs/zlib":                        -8.362642,
+		"license":                          -8.768108,
+		"license.":                         -10.154402,
+		"licensed":                         -9.461255,
+		"licensee":                         -9.461255,
+		"licenses":                         -10.154402,
+		"life.vim":                         -10.154402,
+		"ligger":                           -10.154402,
+		"lignende":                         -9.461255,
+		"ligula":                           -10.154402,
+		"lik":                              -7.381813,
+		"like":                             -8.544964,
+		"likes":                            -9.461255,
+		"likhet":                           -9.461255,
+		"liknende":                         -10.154402,
+		"lime":                             -8.362642,
+		"limer":                            -8.768108,
+		"limitation":                       -9.055790,
+		"limited":                          -10.154402,
+		"limt":                             -9.461255,
+		"line":                             -8.768108,
+		"linje":                            -6.688666,
+		"linje.":                           -7.851817,
+		"linjen":                           -5.510011,
+		"linjen.":                          -6.628041,
+		"linjene":                          -8.074960,
+		"linjenummeret":                    -8.768108,
+		"linjenummeret.":                   -9.461255,
+		"linjenumre":                       -9.461255,
+		"linjeomr":                         -9.461255,
+		"linjer":                           -8.768108,
+		"linjer.":                          -9.461255,
+		"linking":                          -10.154402,
+		"linnnjen":                         -9.461255,
+		"lisensen":                         -10.154402,
+		"liste":                            -8.074960,
+		"listet":                           -9.461255,
+		"liten":                            -8.074960,
+		"litt":                             -7.851817,
+		"load":                             -9.461255,
+		"loads":                            -10.154402,
+		"lobortis":                         -9.461255,
+		"lon":                              -10.154402,
+		"long":                             -10.154402,
+		"ls":                               -7.264030,
+		"lubjwr":                           -8.768108,
+		"lukke":                            -8.768108,
+		"lyd":                              -9.461255,
+		"lydavspillere":                    -10.154402,
+		"lydkomprimeringsprogram":          -10.154402,
+		"lydkort":                          -10.154402,
+		"lydprosessering.":                 -10.154402,
+		"lydstyring":                       -10.154402,
+		"lynjxn":                           -9.461255,
+		"m":                                -6.720415,
+		"macros":                           -10.154402,
+		"macros.":                          -9.461255,
+		"made":                             -9.461255,
+		"magnis":                           -10.154402,
+		"mail":                             -8.768108,
+		"mail.":                            -10.154402,
+		"make":                             -8.362642,
+		"makes":                            -9.461255,
+		"man":                              -10.154402,
+		"mange":                            -7.109879,
+		"mangler":                          -7.851817,
+		"mangler.":                         -9.461255,
+		"manipulere":                       -10.154402,
+		"manual":                           -8.768108,
+		"manually":                         -10.154402,
+		"mark":                             -5.130521,
+		"marked":                           -10.154402,
+		"markert":                          -8.362642,
+		"markert.":                         -9.461255,
+		"maskinen":                         -10.154402,
+		"maskinen.":                        -10.154402,
+		"maskiner":                         -10.154402,
+		"maskinvare":                       -9.461255,
+		"maskinvareplattformer.":           -10.154402,
+		"matematikkrelaterte":              -10.154402,
+		"matematiske":                      -9.461255,
+		"math":                             -9.461255,
+		"matte":                            -10.154402,
+		"matter":                           -10.154402,
+		"max":                              -10.154402,
+		"maxind":                           -10.154402,
+		"may":                              -7.851817,
+		"me":                               -9.461255,
+		"me.":                              -8.768108,
+		"means":                            -9.461255,
+		"med":                              -5.400812,
+		"media":                            -7.321189,
+		"meed":                             -9.461255,
+		"meget":                            -8.768108,
+		"melding":                          -9.461255,
+		"meldingstjeneste":                 -10.154402,
+		"mellom":                           -8.074960,
+		"mellomrom":                        -9.461255,
+		"mellomtjenere":                    -9.461255,
+		"men":                              -7.756507,
+		"mener":                            -9.055790,
+		"mens":                             -8.074960,
+		"ment":                             -8.544964,
+		"menu":                             -10.154402,
+		"mer":                              -7.589453,
+		"merke":                            -7.851817,
+		"merket":                           -6.753205,
+		"metadata":                         -10.154402,
+		"method":                           -10.154402,
+		"metus":                            -10.154402,
+		"mi":                               -10.154402,
+		"mikrokontrollere":                 -10.154402,
+		"miksere":                          -10.154402,
+		"milj":                             -9.461255,
+		"millert":                          -10.154402,
+		"min":                              -8.768108,
+		"min_f":                            -9.461255,
+		"mindre":                           -10.154402,
+		"mindre.":                          -9.461255,
+		"minimum":                          -9.461255,
+		"minind":                           -10.154402,
+		"minutter":                         -9.461255,
+		"misc/dhcp":                        -8.362642,
+		"misrepresented":                   -9.461255,
+		"missing":                          -10.154402,
+		"missing.":                         -10.154402,
+		"mm":                               -10.154402,
+		"mnglr":                            -9.461255,
+		"mobiltelefon":                     -10.154402,
+		"mobiltelefoner":                   -10.154402,
+		"mode":                             -10.154402,
+		"modemer":                          -10.154402,
+		"modification":                     -9.461255,
+		"modifications":                    -10.154402,
+		"modified":                         -10.154402,
+		"modifisere":                       -9.461255,
+		"modify":                           -10.154402,
+		"modus":                            -8.362642,
+		"modutil":                          -10.154402,
+		"mollis":                           -10.154402,
+		"montes":                           -10.154402,
+		"month":                            -10.154402,
+		"month.":                           -10.154402,
+		"monthly":                          -10.154402,
+		"months":                           -10.154402,
+		"morbi":                            -10.154402,
+		"more":                             -8.768108,
+		"most":                             -9.055790,
+		"motsatt":                          -8.768108,
+		"mouse":                            -10.154402,
+		"mulig":                            -8.768108,
+		"mulige":                           -9.461255,
+		"multi":                            -10.154402,
+		"multiple":                         -10.154402,
+		"music":                            -10.154402,
+		"musique":                          -10.154402,
+		"must":                             -8.362642,
+		"mye":                              -7.957177,
+		"mye.":                             -8.768108,
+		"mysql":                            -8.544964,
+		"n":                                -5.435903,
+		"nI":                               -10.154402,
+		"nPakker":                          -10.154402,
+		"name":                             -9.461255,
+		"names":                            -10.154402,
+		"navn":                             -10.154402,
+		"navnet":                           -7.851817,
+		"nca":                              -9.461255,
+		"ndholdte":                         -10.154402,
+		"ndtere":                           -10.154402,
+		"ndtering":                         -10.154402,
+		"ne":                               -10.154402,
+		"necessary.":                       -10.154402,
+		"ned":                              -8.362642,
+		"nede":                             -8.362642,
+		"nedenfor":                         -6.440830,
+		"nedenfor.":                        -7.381813,
+		"nederste":                         -8.768108,
+		"nedover.":                         -9.461255,
+		"nedovertasten":                    -9.461255,
+		"nedovertasten.":                   -9.461255,
+		"neppe":                            -10.154402,
+		"neque.":                           -10.154402,
+		"neste":                            -7.063359,
+		"neste.":                           -9.461255,
+		"nested":                           -10.154402,
+		"net":                              -7.851817,
+		"nett":                             -9.461255,
+		"nettbaserte":                      -10.154402,
+		"nettlesere":                       -10.154402,
+		"nettopp":                          -9.461255,
+		"nettverk":                         -10.154402,
+		"nettverksprotokoller":             -10.154402,
+		"nettverksrelaterte":               -10.154402,
+		"nettverkstrafikken":               -10.154402,
+		"nevner":                           -9.461255,
+		"nevnt":                            -9.461255,
+		"new":                              -8.544964,
+		"nibh":                             -10.154402,
+		"nisi":                             -9.461255,
+		"nisl":                             -9.461255,
+		"nisser":                           -10.154402,
+		"nn":                               -9.461255,
+		"no":                               -8.768108,
+		"nocp":                             -9.461255,
+		"noe":                              -7.515345,
+		"noen":                             -6.976348,
+		"nohlsearch":                       -9.461255,
+		"noic":                             -8.768108,
+		"nok":                              -8.768108,
+		"non":                              -9.055790,
+		"normalmodus":                      -8.768108,
+		"normalmodus.":                     -8.074960,
+		"normalmodusen":                    -9.461255,
+		"normalmodusen.":                   -8.768108,
+		"normalt":                          -10.154402,
+		"not":                              -7.063359,
+		"note":                             -10.154402,
+		"noter.":                           -10.154402,
+		"notice":                           -8.768108,
+		"notices":                          -10.154402,
+		"nouveau":                          -10.154402,
+		"now":                              -9.461255,
+		"nskede":                           -8.768108,
+		"nsket":                            -8.768108,
+		"null":                             -8.768108,
+		"number":                           -9.055790,
+		"number.":                          -10.154402,
+		"numeric":                          -8.544964,
+		"nummer":                           -7.515345,
+		"nunc":                             -10.154402,
+		"ny":                               -7.589453,
+		"nybegynnere.":                     -9.461255,
+		"nye":                              -9.461255,
+		"nyere":                            -9.461255,
+		"nyheter":                          -10.154402,
+		"nyhetssystemet":                   -10.154402,
+		"nytt":                             -8.768108,
+		"nytt.":                            -8.362642,
+		"nyttig":                           -8.362642,
+		"nyttige":                          -8.768108,
+		"o":                                -7.381813,
+		"objekter.":                        -10.154402,
+		"obligations":                      -9.461255,
+		"odio":                             -10.154402,
+		"of":                               -5.759953,
+		"ofte":                             -10.154402,
+		"og":                               -4.707665,
+		"ogs":                              -7.063359,
+		"om":                               -6.935526,
+		"omdirigere":                       -10.154402,
+		"omfattende":                       -9.461255,
+		"omfatter":                         -10.154402,
+		"omgj":                             -8.768108,
+		"omission.":                        -10.154402,
+		"omr":                              -9.461255,
+		"omtrent":                          -8.768108,
+		"on":                               -8.074960,
+		"ondes":                            -10.154402,
+		"one":                              -9.461255,
+		"only":                             -8.768108,
+		"oog":                              -9.461255,
+		"operativsystem":                   -8.768108,
+		"operator":                         -7.515345,
+		"operator.":                        -9.461255,
+		"operatoren":                       -8.362642,
+		"opere":                            -9.461255,
+		"operere":                          -9.461255,
+		"opp":                              -7.669495,
+		"oppgave":                          -9.461255,
+		"oppgaver":                         -9.055790,
+		"oppmerksom":                       -9.461255,
+		"oppn":                             -10.154402,
+		"opprette":                         -10.154402,
+		"oppringt":                         -10.154402,
+		"opprinnelig":                      -10.154402,
+		"oppsett":                          -9.461255,
+		"oppstartsskript":                  -9.461255,
+		"oppsummeringen":                   -8.362642,
+		"opptakere":                        -10.154402,
+		"option":                           -9.461255,
+		"or":                               -6.822197,
+		"ord":                              -7.381813,
+		"ord.":                             -8.362642,
+		"ordb":                             -10.154402,
+		"ordene":                           -8.768108,
+		"order":                            -10.154402,
+		"ordet":                            -6.976348,
+		"ordet.":                           -9.461255,
+		"ordne":                            -9.461255,
+		"ordner":                           -9.461255,
+		"oreiller.":                        -10.154402,
+		"origin":                           -10.154402,
+		"original":                         -8.768108,
+		"originalen":                       -9.461255,
+		"originalt.":                       -9.461255,
+		"osv.":                             -10.154402,
+		"other":                            -7.957177,
+		"others":                           -10.154402,
+		"otherwise":                        -10.154402,
+		"ou":                               -10.154402,
+		"our":                              -10.154402,
+		"ours":                             -10.154402,
+		"out.":                             -10.154402,
+		"output":                           -9.461255,
+		"outside":                          -10.154402,
+		"ovenfor":                          -8.768108,
+		"over":                             -7.669495,
+		"overf":                            -10.154402,
+		"overlay":                          -9.461255,
+		"oversette":                        -10.154402,
+		"oversikt":                         -9.461255,
+		"p":                                -4.995347,
+		"pakke":                            -10.154402,
+		"pakkearkivet":                     -10.154402,
+		"pakkeformat":                      -10.154402,
+		"pakkene":                          -7.957177,
+		"pakker":                           -7.446352,
+		"pakker.":                          -10.154402,
+		"pakkesystemet":                    -9.461255,
+		"paper":                            -10.154402,
+		"parameter":                        -9.461255,
+		"parametere.":                      -9.461255,
+		"parentesen":                       -9.461255,
+		"parenteser":                       -9.461255,
+		"parfois":                          -10.154402,
+		"particular":                       -10.154402,
+		"parties":                          -10.154402,
+		"partir":                           -10.154402,
+		"parts":                            -9.461255,
+		"parturiente":                      -10.154402,
+		"pas":                              -9.055790,
+		"passed":                           -10.154402,
+		"passes":                           -10.154402,
+		"passet":                           -9.461255,
+		"paste":                            -9.461255,
+		"patches/msvc":                     -10.154402,
+		"patches/prune":                    -10.154402,
+		"patent":                           -9.055790,
+		"patenterte":                       -10.154402,
+		"patents":                          -9.461255,
+		"pauvret":                          -10.154402,
+		"peker":                            -9.461255,
+		"pellentesque":                     -10.154402,
+		"people":                           -10.154402,
+		"perl":                             -10.154402,
+		"permission.":                      -10.154402,
+		"permit":                           -9.055790,
+		"permitted":                        -9.461255,
+		"pertinent":                        -10.154402,
+		"pet":                              -9.461255,
+		"pil":                              -9.461255,
+		"piltastene":                       -9.461255,
+		"placed":                           -10.154402,
+		"placerat.":                        -10.154402,
+		"places":                           -10.154402,
+		"plainly":                          -10.154402,
+		"plasser":                          -8.768108,
+		"plassere":                         -9.055790,
+		"plassert":                         -8.208492,
+		"plater":                           -10.154402,
+		"pluss":                            -10.154402,
+		"pne":                              -7.851817,
+		"pointer":                          -10.154402,
+		"pomme":                            -10.154402,
+		"porta":                            -10.154402,
+		"portion":                          -9.461255,
+		"porttitor.":                       -10.154402,
+		"posisjon":                         -9.461255,
+		"posisjonen":                       -8.074960,
+		"posisjoner":                       -9.461255,
+		"posisjoner.":                      -9.461255,
+		"possible":                         -9.461255,
+		"post":                             -10.154402,
+		"posuere":                          -10.154402,
+		"potato":                           -10.154402,
+		"pour":                             -10.154402,
+		"ppelpost.":                        -10.154402,
+		"pr":                               -8.768108,
+		"practices.":                       -10.154402,
+		"precise":                          -10.154402,
+		"present":                          -10.154402,
+		"preserved.":                       -10.154402,
+		"preserving":                       -10.154402,
+		"print":                            -10.154402,
+		"problems":                         -9.461255,
+		"produsere":                        -10.154402,
+		"program":                          -7.446352,
+		"program.":                         -9.461255,
+		"programbibliotek":                 -10.154402,
+		"programmene":                      -9.055790,
+		"programmer":                       -6.628041,
+		"programmer.":                      -9.461255,
+		"programmerer":                     -9.461255,
+		"programmeringen":                  -10.154402,
+		"programmeringsspr":                -9.461255,
+		"programmeringsverkt":              -10.154402,
+		"programmet":                       -10.154402,
+		"programs":                         -10.154402,
+		"programs.":                        -10.154402,
+		"programvare":                      -7.515345,
+		"programvare.":                     -9.461255,
+		"programvarerutiner":               -10.154402,
+		"programvareutvikling":             -10.154402,
+		"promoting":                        -10.154402,
+		"property":                         -10.154402,
+		"proprietary":                      -9.461255,
+		"prosedyren.":                      -9.461255,
+		"prosjektet":                       -10.154402,
+		"protecting":                       -10.154402,
+		"protection":                       -10.154402,
+		"protokoller":                      -10.154402,
+		"public":                           -9.461255,
+		"publish":                          -10.154402,
+		"published":                        -9.055790,
+		"pugge.":                           -9.461255,
+		"pulvinar.":                        -10.154402,
+		"punkt":                            -7.669495,
+		"punktene":                         -8.362642,
+		"punktet":                          -8.768108,
+		"punktum":                          -9.461255,
+		"purpose":                          -9.055790,
+		"python":                           -10.154402,
+		"q":                                -7.669495,
+		"que":                              -10.154402,
+		"queries":                          -10.154402,
+		"quis":                             -9.055790,
+		"r":                                -5.366910,
+		"radioamat":                        -9.461255,
+		"range":                            -10.154402,
+		"rask":                             -9.461255,
+		"raskere":                          -9.461255,
+		"re":                               -6.147069,
+		"re.":                              -9.461255,
+		"read":                             -9.055790,
+		"reason":                           -10.154402,
+		"reasons":                          -10.154402,
+		"receive":                          -10.154402,
+		"received":                         -10.154402,
+		"recipients":                       -10.154402,
+		"recommand":                        -10.154402,
+		"redigere":                         -9.055790,
+		"redigere.":                        -9.461255,
+		"redigeringen":                     -9.461255,
+		"redistribute":                     -9.055790,
+		"redistribution":                   -10.154402,
+		"refers":                           -10.154402,
+		"reflect":                          -10.154402,
+		"refrain":                          -10.154402,
+		"register.":                        -9.461255,
+		"rekkef":                           -9.461255,
+		"reliance":                         -10.154402,
+		"remove":                           -10.154402,
+		"removed":                          -10.154402,
+		"removes":                          -10.154402,
+		"ren":                              -5.234421,
+		"ren.":                             -7.381813,
+		"rende":                            -7.851817,
+		"reparere":                         -9.461255,
+		"repeter":                          -8.768108,
+		"repetere":                         -8.768108,
+		"repeterer":                        -8.768108,
+		"repeterer.":                       -9.461255,
+		"requires":                         -10.154402,
+		"rer":                              -7.321189,
+		"rer.":                             -10.154402,
+		"res":                              -8.768108,
+		"res.":                             -9.461255,
+		"resatt.":                          -9.461255,
+		"reserved.":                        -10.154402,
+		"responsible":                      -9.461255,
+		"rest":                             -10.154402,
+		"restart":                          -10.154402,
+		"resten":                           -8.768108,
+		"restricted":                       -9.461255,
+		"restrictions":                     -10.154402,
+		"results":                          -9.461255,
+		"retained.":                        -10.154402,
+		"retning":                          -9.461255,
+		"retning.":                         -9.461255,
+		"retningen":                        -9.461255,
+		"retningslinjer":                   -10.154402,
+		"rett":                             -8.544964,
+		"rette":                            -9.461255,
+		"rettet":                           -9.461255,
+		"rettsvesenet":                     -10.154402,
+		"return_val":                       -9.055790,
+		"returned":                         -9.055790,
+		"returnere":                        -8.768108,
+		"returns":                          -10.154402,
+		"reuse":                            -10.154402,
+		"revised":                          -10.154402,
+		"rger":                             -8.544964,
+		"right":                            -10.154402,
+		"rights":                           -9.461255,
+		"riktig":                           -9.461255,
+		"ring":                             -8.074960,
+		"ring.":                            -9.461255,
+		"ringen":                           -7.264030,
+		"ringen.":                          -9.461255,
+		"ringer.":                          -9.461255,
+		"rlinjen.":                         -9.461255,
+		"rm":                               -8.768108,
+		"rmMonAnnCycLLLT":                  -10.154402,
+		"rmMonAnnCycLLT":                   -8.768108,
+		"rmMonAnnCycTLL":                   -10.154402,
+		"rme":                              -9.461255,
+		"rne":                              -9.461255,
+		"royalty":                          -10.154402,
+		"rpm":                              -10.154402,
+		"rposisjonen":                      -9.461255,
+		"rposisjonen.":                     -9.461255,
+		"rre":                              -8.768108,
+		"rst":                              -8.768108,
+		"rste":                             -6.147069,
+		"rt":                               -7.957177,
+		"rte":                              -8.362642,
+		"ruler":                            -9.461255,
+		"run":                              -9.055790,
+		"rundt":                            -8.362642,
+		"running":                          -9.461255,
+		"rx":                               -9.461255,
+		"s":                                -6.076864,
+		"s/deen/den/":                      -9.461255,
+		"s/deen/den/g":                     -9.461255,
+		"s/gammel/ny":                      -9.461255,
+		"s/gammel/ny/g":                    -8.074960,
+		"s/gammel/ny/gc":                   -8.768108,
+		"safest":                           -10.154402,
+		"sagittis":                         -10.154402,
+		"same":                             -9.055790,
+		"samling":                          -9.461255,
+		"samme":                            -7.515345,
+		"sammen":                           -8.362642,
+		"sammenvevd":                       -9.461255,
+		"sample":                           -10.154402,
+		"samsvarende":                      -9.461255,
+		"samsvarer.":                       -9.461255,
+		"samtale":                          -10.154402,
+		"sant":                             -9.461255,
+		"sapien":                           -10.154402,
+		"satisfy":                          -9.461255,
+		"satt":                             -8.768108,
+		"say":                              -10.154402,
+		"saying":                           -10.154402,
+		"scalar":                           -10.154402,
+		"school":                           -10.154402,
+		"scope.":                           -10.154402,
+		"script":                           -10.154402,
+		"se":                               -7.063359,
+		"section":                          -8.362642,
+		"sed":                              -10.154402,
+		"seksjonen":                        -8.768108,
+		"seksjonen.":                       -10.154402,
+		"sekvenser":                        -10.154402,
+		"selv":                             -8.544964,
+		"selv.":                            -10.154402,
+		"selve":                            -10.154402,
+		"sem":                              -10.154402,
+		"sende":                            -10.154402,
+		"senectus":                         -10.154402,
+		"ser":                              -8.074960,
+		"server":                           -9.461255,
+		"set":                              -7.515345,
+		"setningen":                        -8.074960,
+		"setningen.":                       -8.362642,
+		"sett":                             -8.362642,
+		"sette":                            -7.264030,
+		"setter":                           -8.768108,
+		"settes":                           -8.768108,
+		"share":                            -9.461255,
+		"sharing":                          -10.154402,
+		"she":                              -10.154402,
+		"shell":                            -10.154402,
+		"short":                            -10.154402,
+		"should":                           -8.768108,
+		"show":                             -8.768108,
+		"si":                               -8.768108,
+		"sign":                             -10.154402,
+		"signtool.":                        -10.154402,
+		"sikker":                           -7.756507,
+		"similar":                          -10.154402,
+		"simulatorer":                      -10.154402,
+		"simultaneously":                   -10.154402,
+		"sine":                             -10.154402,
+		"siste":                            -8.074960,
+		"sit":                              -10.154402,
+		"sitter.":                          -9.461255,
+		"size":                             -10.154402,
+		"sjanse":                           -10.154402,
+		"sjekk":                            -9.461255,
+		"sjekke":                           -8.768108,
+		"sjeldne":                          -10.154402,
+		"sjette":                           -9.461255,
+		"skal":                             -6.688666,
+		"skall":                            -9.055790,
+		"skallet":                          -9.461255,
+		"skallet.":                         -9.461255,
+		"skanner":                          -10.154402,
+		"skikkelig.":                       -9.461255,
+		"skjer":                            -8.768108,
+		"skjer.":                           -9.461255,
+		"skjermen":                         -7.851817,
+		"skjermen.":                        -8.362642,
+		"skr":                              -9.461255,
+		"skrev":                            -9.461255,
+		"skrevet":                          -7.756507,
+		"skrifttyper":                      -10.154402,
+		"skript":                           -10.154402,
+		"skriptspr":                        -10.154402,
+		"skriv":                            -6.325761,
+		"skrivbordsmilj":                   -9.461255,
+		"skrive":                           -6.753205,
+		"skrivebordssystem":                -10.154402,
+		"skriveprogram":                    -10.154402,
+		"skriveprogrammer":                 -9.055790,
+		"skriver":                          -8.362642,
+		"skriver.":                         -9.461255,
+		"skrives":                          -9.461255,
+		"skyld.":                           -10.154402,
+		"skyldes":                          -10.154402,
+		"sl":                               -8.362642,
+		"slags":                            -10.154402,
+		"slett":                            -7.589453,
+		"slette":                           -6.490840,
+		"slettede":                         -9.461255,
+		"slettekommando":                   -9.461255,
+		"sletteoperatoren":                 -8.768108,
+		"sletteoperatoren.":                -9.461255,
+		"sletter":                          -8.768108,
+		"slettes.":                         -8.768108,
+		"slettet":                          -8.362642,
+		"slettet.":                         -9.461255,
+		"slettetasten":                     -9.461255,
+		"sletting":                         -9.461255,
+		"slik":                             -9.461255,
+		"slike":                            -10.154402,
+		"slot/slot":                        -9.461255,
+		"slutten":                          -6.416732,
+		"slutter":                          -9.461255,
+		"smaller":                          -10.154402,
+		"snakke":                           -10.154402,
+		"snudddde":                         -9.461255,
+		"snudde":                           -9.461255,
+		"so":                               -8.768108,
+		"software":                         -7.381813,
+		"software.":                        -9.461255,
+		"sole":                             -10.154402,
+		"sollicitudin":                     -10.154402,
+		"som":                              -4.989616,
+		"someone":                          -10.154402,
+		"something":                        -10.154402,
+		"sometimes":                        -10.154402,
+		"source":                           -9.461255,
+		"sources":                          -9.461255,
+		"sp":                               -9.461255,
+		"specifies":                        -10.154402,
+		"specify":                          -10.154402,
+		"spesialisert":                     -10.154402,
+		"spesialiserte":                    -10.154402,
+		"spesielt":                         -9.461255,
+		"spesifikk":                        -9.461255,
+		"spesifisert.":                     -9.461255,
+		"spill":                            -10.154402,
+		"spille":                           -10.154402,
+		"spirit":                           -10.154402,
+		"spr":                              -9.055790,
+		"st":                               -7.957177,
+		"stand":                            -8.768108,
+		"standard.":                        -9.461255,
+		"standardbibliotek":                -10.154402,
+		"start":                            -9.055790,
+		"starte":                           -8.074960,
+		"starten":                          -7.381813,
+		"starter":                          -8.768108,
+		"startes":                          -9.461255,
+		"startet":                          -8.768108,
+		"starts":                           -10.154402,
+		"status":                           -10.154402,
+		"stavekontroll":                    -10.154402,
+		"steder.":                          -10.154402,
+		"steg":                             -7.515345,
+		"stegene":                          -8.362642,
+		"steinen":                          -9.461255,
+		"stor":                             -7.957177,
+		"store":                            -8.768108,
+		"store/sm":                         -8.074960,
+		"stort":                            -9.461255,
+		"streken":                          -9.461255,
+		"stress":                           -10.154402,
+		"studier":                          -9.461255,
+		"styre":                            -9.461255,
+		"styring":                          -10.154402,
+		"subcat/package":                   -9.461255,
+		"subject":                          -9.461255,
+		"subroutine":                       -10.154402,
+		"subtracts":                        -10.154402,
+		"such":                             -8.768108,
+		"suits":                            -10.154402,
+		"sunbase.org":                      -9.461255,
+		"sunny":                            -9.461255,
+		"supports":                         -10.154402,
+		"sure":                             -10.154402,
+		"sv":                               -10.154402,
+		"symbolsk":                         -10.154402,
+		"syne":                             -8.362642,
+		"syne.":                            -9.461255,
+		"syntaks":                          -9.461255,
+		"sys":                              -7.381813,
+		"system":                           -8.208492,
+		"systemer":                         -10.154402,
+		"systemer.":                        -10.154402,
+		"systemet":                         -8.362642,
+		"systemet.":                        -10.154402,
+		"systemverkt":                      -10.154402,
+		"t":                                -9.461255,
+		"ta":                               -9.461255,
+		"take":                             -10.154402,
+		"tall":                             -8.768108,
+		"tall.":                            -9.461255,
+		"tallet":                           -9.461255,
+		"tar":                              -8.362642,
+		"tasten":                           -7.264030,
+		"tastene":                          -9.461255,
+		"tastene.":                         -9.461255,
+		"taster":                           -8.768108,
+		"te":                               -8.208492,
+		"te.":                              -10.154402,
+		"tegn":                             -8.362642,
+		"tegn.":                            -8.768108,
+		"tegne":                            -10.154402,
+		"tegnene":                          -9.461255,
+		"tegnet":                           -7.264030,
+		"tegnet.":                          -7.851817,
+		"tegnkoding":                       -10.154402,
+		"tek":                              -8.768108,
+		"tekst":                            -6.242379,
+		"tekst.":                           -8.362642,
+		"tekstbehandlere":                  -9.461255,
+		"teksten":                          -6.976348,
+		"teksten.":                         -7.515345,
+		"tekstfiltere":                     -10.154402,
+		"tekststreng":                      -9.461255,
+		"temaer":                           -9.461255,
+		"tempor":                           -10.154402,
+		"temps":                            -10.154402,
+		"temps.":                           -10.154402,
+		"ten":                              -8.768108,
+		"tene":                             -9.461255,
+		"tenkt":                            -10.154402,
+		"tenkt.":                           -10.154402,
+		"term":                             -9.461255,
+		"terms":                            -8.768108,
+		"terms.":                           -10.154402,
+		"terre":                            -10.154402,
+		"testing":                          -9.461255,
+		"testlinje":                        -9.461255,
+		"tett":                             -9.461255,
+		"tex":                              -10.154402,
+		"tgz":                              -10.154402,
+		"than":                             -9.055790,
+		"that":                             -7.515345,
+		"the":                              -5.310215,
+		"them":                             -10.154402,
+		"then":                             -9.055790,
+		"there":                            -10.154402,
+		"thermique":                        -10.154402,
+		"these":                            -9.461255,
+		"they":                             -8.544964,
+		"third":                            -10.154402,
+		"this":                             -6.858565,
+		"this.":                            -10.154402,
+		"thoroughly":                       -10.154402,
+		"those":                            -9.461255,
+		"three":                            -10.154402,
+		"through":                          -9.055790,
+		"thus":                             -10.154402,
+		"tid":                              -9.461255,
+		"tiden":                            -9.461255,
+		"tidligere":                        -8.768108,
+		"til":                              -4.421061,
+		"til.":                             -9.461255,
+		"tilbake":                          -7.669495,
+		"tilbake.":                         -9.461255,
+		"tilby":                            -10.154402,
+		"tilbyr":                           -9.461255,
+		"tilfeller":                        -10.154402,
+		"tilfellet":                        -9.461255,
+		"tilgjengelige":                    -10.154402,
+		"tilh":                             -10.154402,
+		"tillagt":                          -9.461255,
+		"time":                             -9.461255,
+		"time.":                            -9.461255,
+		"tincidunt":                        -9.461255,
+		"ting":                             -10.154402,
+		"tjener":                           -10.154402,
+		"tjenere":                          -8.544964,
+		"tjenester":                        -10.154402,
+		"tjykket":                          -9.461255,
+		"tkst":                             -9.461255,
+		"to":                               -5.759953,
+		"together":                         -10.154402,
+		"tolkeprogram":                     -10.154402,
+		"tolker":                           -9.461255,
+		"tomme":                            -9.461255,
+		"tortor":                           -10.154402,
+		"translated":                       -10.154402,
+		"translation":                      -10.154402,
+		"tre":                              -8.768108,
+		"tre.":                             -10.154402,
+		"tredje":                           -9.461255,
+		"tredjeparts":                      -9.461255,
+		"treff":                            -8.768108,
+		"trenger":                          -7.957177,
+		"trengs":                           -10.154402,
+		"triplestore":                      -10.154402,
+		"tristique":                        -10.154402,
+		"tristique.":                       -10.154402,
+		"true":                             -10.154402,
+		"trykk":                            -6.203158,
+		"trykke":                           -7.158670,
+		"trykker":                          -7.851817,
+		"trykkes.":                         -9.461255,
+		"trykket":                          -8.768108,
+		"ts":                               -9.461255,
+		"tt":                               -8.362642,
+		"tteprogrammer":                    -10.154402,
+		"turpis.":                          -10.154402,
+		"tutor":                            -8.768108,
+		"tutor.no":                         -9.461255,
+		"two":                              -10.154402,
+		"tyngste":                          -9.461255,
+		"type":                             -8.362642,
+		"type.":                            -10.154402,
+		"typeof":                           -9.461255,
+		"typisk":                           -10.154402,
+		"typografi":                        -10.154402,
+		"typografisk":                      -10.154402,
+		"u":                                -7.264030,
+		"ubalansert":                       -9.461255,
+		"uforandret.":                      -9.461255,
+		"ufri":                             -9.461255,
+		"ufullstendige":                    -9.461255,
+		"ulike":                            -9.055790,
+		"un":                               -9.055790,
+		"under":                            -6.976348,
+		"under.":                           -9.461255,
+		"underholdningens":                 -10.154402,
+		"understands":                      -10.154402,
+		"une":                              -10.154402,
+		"unenforceable":                    -10.154402,
+		"unikt":                            -9.461255,
+		"unneeded":                         -10.154402,
+		"unntak":                           -10.154402,
+		"unntatt":                          -9.461255,
+		"unpack":                           -10.154402,
+		"up":                               -10.154402,
+		"updated.":                         -10.154402,
+		"usage.":                           -10.154402,
+		"use":                              -7.851817,
+		"used":                             -10.154402,
+		"useful":                           -9.461255,
+		"user":                             -8.768108,
+		"users":                            -9.055790,
+		"users.":                           -10.154402,
+		"uses":                             -10.154402,
+		"usikker":                          -9.461255,
+		"using":                            -10.154402,
+		"ut":                               -7.321189,
+		"utdata":                           -10.154402,
+		"utdataene":                        -8.362642,
+		"utdatafiler":                      -10.154402,
+		"uten":                             -8.544964,
+		"utenfor":                          -8.768108,
+		"utf":                              -7.063359,
+		"utgaven":                          -9.461255,
+		"uthev":                            -9.461255,
+		"uthevede":                         -9.461255,
+		"utheving.":                        -9.461255,
+		"uthevingen":                       -9.461255,
+		"utregninger":                      -10.154402,
+		"utropstegnet":                     -9.461255,
+		"utskrifter":                       -10.154402,
+		"utstyr":                           -10.154402,
+		"uttrykkelig":                      -9.461255,
+		"uttrykket":                        -9.461255,
+		"utviklerne":                       -9.461255,
+		"utvikling":                        -9.461255,
+		"v":                                -6.304254,
+		"valg":                             -8.768108,
+		"valg.":                            -9.461255,
+		"valgene":                          -9.461255,
+		"valget":                           -7.851817,
+		"valget.":                          -8.768108,
+		"valgfritt":                        -9.461255,
+		"valgte":                           -8.362642,
+		"validity":                         -10.154402,
+		"value":                            -8.074960,
+		"value.":                           -10.154402,
+		"values":                           -8.768108,
+		"vanskelige":                       -10.154402,
+		"vant":                             -9.461255,
+		"var":                              -7.669495,
+		"ve":                               -8.208492,
+		"ved":                              -6.657894,
+		"vel":                              -10.154402,
+		"veldig":                           -9.461255,
+		"velge":                            -9.461255,
+		"velger":                           -9.461255,
+		"velkjente":                        -9.461255,
+		"venstre":                          -8.768108,
+		"venstre.":                         -9.461255,
+		"venter":                           -9.461255,
+		"verbatim":                         -9.055790,
+		"verden":                           -10.154402,
+		"verdensveven":                     -10.154402,
+		"verkt":                            -7.589453,
+		"verset":                           -9.461255,
+		"version":                          -7.851817,
+		"version.":                         -10.154402,
+		"versions":                         -9.055790,
+		"versjonen.":                       -9.461255,
+		"verte":                            -10.154402,
+		"vet":                              -8.768108,
+		"vi":                               -8.362642,
+		"video/nvidia":                     -9.461255,
+		"videokort":                        -10.154402,
+		"videre":                           -7.957177,
+		"videre.":                          -9.461255,
+		"viktig":                           -9.461255,
+		"vil":                              -6.011267,
+		"ville":                            -9.461255,
+		"vim":                              -7.851817,
+		"vimrc":                            -7.669495,
+		"vimtutor":                         -8.362642,
+		"vindu":                            -9.461255,
+		"vindu.":                           -9.461255,
+		"vindusbehandlere":                 -10.154402,
+		"vindussystemet":                   -10.154402,
+		"virke.":                           -9.055790,
+		"virker":                           -9.461255,
+		"virker.":                          -8.768108,
+		"vis":                              -9.461255,
+		"vise":                             -8.362642,
+		"viser":                            -8.544964,
+		"visning":                          -9.461255,
+		"vist.":                            -9.461255,
+		"visuell":                          -8.768108,
+		"visuelt":                          -8.768108,
+		"vitae":                            -10.154402,
+		"vite":                             -9.461255,
+		"vitenskap":                        -10.154402,
+		"vitenskapelig":                    -10.154402,
+		"vitenskaplig":                     -10.154402,
+		"volutpat":                         -10.154402,
+		"vsnprintf.patch":                  -10.154402,
+		"w":                                -6.657894,
+		"want":                             -8.768108,
+		"warranty":                         -9.055790,
+		"way":                              -9.461255,
+		"we":                               -8.768108,
+		"wgh":                              -9.461255,
+		"what":                             -8.544964,
+		"whatever":                         -10.154402,
+		"when":                             -10.154402,
+		"where":                            -10.154402,
+		"whether":                          -10.154402,
+		"which":                            -8.362642,
+		"who":                              -9.461255,
+		"whole":                            -10.154402,
+		"whose":                            -10.154402,
+		"wide":                             -10.154402,
+		"will":                             -8.208492,
+		"willing":                          -10.154402,
+		"wish":                             -10.154402,
+		"with":                             -8.544964,
+		"without":                          -9.461255,
+		"work":                             -8.362642,
+		"would":                            -9.461255,
+		"wq":                               -8.362642,
+		"wrapscan":                         -9.461255,
+		"write":                            -9.055790,
+		"written":                          -9.461255,
+		"x":                                -6.976348,
+		"x.":                               -8.544964,
+		"x.x.jar":                          -10.154402,
+		"xxx":                              -8.074960,
+		"xxx.":                             -8.362642,
+		"y":                                -6.599054,
+		"yank":                             -8.768108,
+		"year":                             -10.154402,
+		"ymse":                             -10.154402,
+		"you":                              -7.264030,
+		"your":                             -7.957177,
+		"yre":                              -8.362642,
+		"yre.":                             -9.461255,
+		"yvind":                            -9.461255,
+		"yw":                               -9.461255,
+		"zkrevet":                          -9.461255,
+		"zlib":                             -8.362642,
+		"zlib.sh":                          -10.154402,
+		"zmodem":                           -10.154402,
+		"zonal":                            -9.461255,
+		"zonalAve":                         -9.055790,
+		"{":                                -8.362642,
+		"|":                                -7.669495,
+		"}":                                -8.768108,
+		"~":                                -1.366571,
+		"©":                                -9.055790,
+		"«":                                -5.375278,
+		"»":                                -5.375278,
+		"Â":                                -9.055790,
+		"Å":                                -7.381813,
+		"Ø":                                -7.669495,
+		"à":                                -9.461255,
+		"å":                                -3.376755,
+		"æ":                                -6.222576,
+		"è":                                -9.461255,
+		"é":                                -7.957177,
+		"î":                                -10.154402,
+		"ø":                                -3.986885,
+		"Ŧ":                                -5.891722,
+		"ŧ":                                -5.891722,
 	},
 	"Thrift": map[string]float64{
 		":":           -1.945910,
@@ -159213,121 +164874,269 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":           -1.945910,
 	},
 	"Turing": map[string]float64{
-		"!":                 -8.338545,
-		"%":                 -5.160491,
-		"(":                 -2.160601,
-		")":                 -2.160601,
-		"*":                 -8.338545,
-		"+":                 -3.602346,
-		",":                 -2.364735,
-		"-":                 -4.261007,
-		"..":                -5.203051,
-		":":                 -2.682553,
-		"<":                 -5.565956,
-		"=":                 -2.177338,
-		">":                 -5.394106,
-		"Detect":            -8.338545,
-		"Detection":         -8.338545,
-		"Draw.ThickLine":    -5.042708,
-		"Drop":              -5.853638,
-		"Font.Draw":         -5.448173,
-		"Font.New":          -6.729107,
-		"Grid":              -8.338545,
-		"Horizontal":        -8.338545,
-		"Mouse.Where":       -8.338545,
-		"Music.PlayFile":    -8.338545,
-		"NEW":               -8.338545,
-		"Negative":          -8.338545,
-		"Part":              -8.338545,
-		"Positive":          -8.338545,
-		"PreventFor":        -8.338545,
-		"Red":               -8.338545,
-		"Slope":             -7.645398,
-		"This":              -8.338545,
-		"Verification":      -8.338545,
-		"Verticle":          -8.338545,
-		"Winner":            -8.338545,
-		"Yellow":            -7.645398,
-		"a":                 -8.338545,
-		"and":               -3.861208,
-		"array":             -8.338545,
-		"backgroundMusic":   -7.239933,
-		"black":             -5.119669,
-		"body":              -8.338545,
-		"boolean":           -7.645398,
-		"button":            -6.141320,
-		"by":                -8.338545,
-		"cls":               -7.645398,
-		"colourP":           -6.952251,
-		"comment":           -8.338545,
-		"corner":            -5.853638,
-		"delay":             -5.505332,
-		"drawbox":           -6.546785,
-		"drawfillbox":       -6.259103,
-		"drawfilloval":      -5.006340,
-		"else":              -4.904558,
-		"elsif":             -3.849909,
-		"end":               -3.969097,
-		"exit":              -6.392635,
-		"factorial":         -6.952251,
-		"false":             -5.565956,
-		"font":              -5.699488,
-		"for":               -4.600875,
-		"fork":              -8.338545,
-		"forward":           -8.338545,
-		"full":              -4.755026,
-		"function":          -8.338545,
-		"game":              -6.729107,
-		"get":               -8.338545,
-		"getch":             -8.338545,
-		"hasch":             -8.338545,
-		"height":            -5.853638,
-		"i":                 -2.991437,
-		"if":                -3.816756,
-		"instructionsTitle": -7.239933,
-		"int":               -6.259103,
-		"intro":             -7.239933,
-		"intstr":            -7.645398,
-		"is":                -8.338545,
-		"loop":              -6.035960,
-		"loopFor":           -3.895894,
-		"mainMenu":          -6.952251,
-		"mousewhere":        -8.338545,
-		"n":                 -6.141320,
-		"now":               -5.853638,
-		"of":                -8.338545,
-		"on":                -5.853638,
-		"pauseProgram":      -6.952251,
-		"proc":              -6.546785,
-		"process":           -8.338545,
-		"put":               -7.239933,
-		"rangeX":            -5.080448,
-		"rangeY":            -7.645398,
-		"real":              -8.338545,
-		"reply":             -7.645398,
-		"result":            -7.645398,
-		"right":             -5.853638,
-		"samePlayer":        -5.448173,
-		"scoreR":            -5.080448,
-		"scoreRS":           -7.239933,
-		"scoreY":            -5.080448,
-		"scoreYS":           -7.239933,
-		"setscreen":         -7.239933,
-		"shouldPlay":        -7.239933,
-		"string":            -6.952251,
-		"subtitle":          -6.729107,
-		"then":              -3.433270,
-		"title":             -6.952251,
-		"true":              -4.674983,
-		"var":               -5.773596,
-		"verifies":          -5.853638,
-		"when":              -6.729107,
-		"win":               -5.042708,
-		"winner":            -7.239933,
-		"x":                 -2.582803,
-		"xValue":            -6.952251,
-		"yValue":            -6.259103,
-		"yellow":            -6.392635,
+		"!":                           -8.863616,
+		"%":                           -5.280097,
+		"(":                           -2.296943,
+		")":                           -2.296943,
+		"*":                           -6.298666,
+		"+":                           -3.763749,
+		",":                           -2.621392,
+		"-":                           -2.779116,
+		"..":                          -5.013468,
+		".aim":                        -7.477321,
+		".block":                      -7.071856,
+		".duration":                   -7.254178,
+		".lvl":                        -7.477321,
+		".name":                       -6.561031,
+		".score":                      -6.224558,
+		"/":                           -8.170469,
+		":":                           -2.723731,
+		"<":                           -5.531411,
+		"<Enter>":                     -8.863616,
+		"<Esc>":                       -8.863616,
+		"=":                           -2.451797,
+		">":                           -4.331016,
+		"BLOCKSIZE":                   -7.254178,
+		"Detect":                      -8.863616,
+		"Detection":                   -8.863616,
+		"Draw.FillBox":                -8.863616,
+		"Draw.FillOval":               -8.863616,
+		"Draw.Line":                   -7.765003,
+		"Draw.ThickLine":              -5.567779,
+		"Drop":                        -6.378709,
+		"File.Exists":                 -8.863616,
+		"Font.Draw":                   -5.685562,
+		"Font.New":                    -6.917706,
+		"GameMap":                     -7.477321,
+		"GameObject":                  -7.765003,
+		"Grid":                        -8.863616,
+		"GridRenderer":                -7.477321,
+		"Horizontal":                  -8.863616,
+		"Input.Flush":                 -8.863616,
+		"Input.KeyDown":               -8.170469,
+		"KEY_ENTER":                   -7.765003,
+		"KEY_ESC":                     -8.863616,
+		"Mouse.Where":                 -8.863616,
+		"Music.PlayFile":              -8.863616,
+		"NEW":                         -8.863616,
+		"Negative":                    -8.863616,
+		"Part":                        -8.863616,
+		"Positive":                    -8.863616,
+		"PreventFor":                  -8.863616,
+		"Red":                         -8.863616,
+		"Slope":                       -8.170469,
+		"This":                        -8.863616,
+		"Time.DelaySinceLast":         -8.863616,
+		"Verification":                -8.863616,
+		"Verticle":                    -8.863616,
+		"View.Set":                    -7.765003,
+		"View.Update":                 -8.170469,
+		"ViewingAreaBtmRightCornerX":  -7.071856,
+		"ViewingAreaBtmRightCornerY":  -7.254178,
+		"ViewingAreaTopLeftCornerX":   -7.477321,
+		"ViewingAreaTopLeftCornerY":   -7.477321,
+		"ViewingAreaTopLeftCornerY..": -8.863616,
+		"Winner":                      -8.863616,
+		"Yellow":                      -8.170469,
+		"a":                           -8.863616,
+		"abs":                         -8.863616,
+		"aim":                         -7.071856,
+		"aimBonus":                    -7.477321,
+		"and":                         -4.309739,
+		"array":                       -7.071856,
+		"art":                         -8.863616,
+		"backgroundMusic":             -7.765003,
+		"black":                       -5.308268,
+		"block":                       -7.254178,
+		"blockPress":                  -7.477321,
+		"blocks":                      -4.456897,
+		"body":                        -8.863616,
+		"boolean":                     -7.477321,
+		"brightred":                   -8.170469,
+		"button":                      -6.666391,
+		"by":                          -8.863616,
+		"calculateX":                  -7.477321,
+		"calculateY":                  -7.477321,
+		"char":                        -8.863616,
+		"chars":                       -6.917706,
+		"checkHeight":                 -7.477321,
+		"class":                       -7.477321,
+		"clawX":                       -6.465720,
+		"clawY":                       -6.465720,
+		"close":                       -7.765003,
+		"clr":                         -7.477321,
+		"cls":                         -7.254178,
+		"collisions":                  -7.765003,
+		"colourP":                     -7.477321,
+		"comment":                     -8.863616,
+		"const":                       -6.917706,
+		"corner":                      -6.378709,
+		"counter":                     -6.917706,
+		"createBlock":                 -7.477321,
+		"createFile":                  -7.765003,
+		"currentHS":                   -8.863616,
+		"currentHS.name":              -6.917706,
+		"currentHS.score":             -6.666391,
+		"decreasing":                  -8.170469,
+		"delay":                       -5.973244,
+		"dif":                         -7.254178,
+		"displayHS":                   -7.477321,
+		"div":                         -5.280097,
+		"draw":                        -6.917706,
+		"drawAt":                      -6.784174,
+		"drawbox":                     -7.071856,
+		"drawfillbox":                 -6.666391,
+		"drawfilloval":                -5.531411,
+		"duration":                    -8.863616,
+		"else":                        -5.337255,
+		"elsif":                       -4.320321,
+		"end":                         -3.776019,
+		"enum":                        -8.863616,
+		"exit":                        -6.298666,
+		"export":                      -7.765003,
+		"factorial":                   -7.477321,
+		"faggot":                      -8.863616,
+		"falling":                     -6.666391,
+		"false":                       -5.644740,
+		"fileName":                    -7.254178,
+		"fileNo":                      -6.561031,
+		"flexible":                    -8.170469,
+		"floor":                       -8.863616,
+		"font":                        -5.867883,
+		"for":                         -4.432799,
+		"fork":                        -8.863616,
+		"forward":                     -8.863616,
+		"full":                        -5.280097,
+		"function":                    -7.477321,
+		"game":                        -7.254178,
+		"gameOver":                    -7.765003,
+		"get":                         -8.170469,
+		"getName":                     -7.765003,
+		"getch":                       -8.863616,
+		"grass":                       -8.863616,
+		"gravity":                     -8.170469,
+		"grenderer":                   -7.254178,
+		"ground":                      -6.784174,
+		"hSpd":                        -6.917706,
+		"hasch":                       -8.863616,
+		"height":                      -6.378709,
+		"high":                        -8.863616,
+		"highScores":                  -5.531411,
+		"highest":                     -7.071856,
+		"i":                           -3.146588,
+		"if":                          -3.921973,
+		"instructionsTitle":           -7.765003,
+		"int":                         -5.125946,
+		"interactions":                -8.863616,
+		"intro":                       -7.765003,
+		"intstr":                      -6.561031,
+		"is":                          -8.863616,
+		"j":                           -5.397880,
+		"keyPresses":                  -7.765003,
+		"length":                      -7.765003,
+		"loadHS":                      -7.477321,
+		"locate":                      -6.561031,
+		"loop":                        -5.867883,
+		"loopFor":                     -4.420965,
+		"loseGame":                    -7.765003,
+		"losing":                      -8.863616,
+		"lvl":                         -6.224558,
+		"main":                        -8.863616,
+		"mainMenu":                    -7.477321,
+		"manageSplashes":              -7.765003,
+		"map":                         -7.477321,
+		"masterMap":                   -7.477321,
+		"maxcol":                      -6.561031,
+		"maxx":                        -7.071856,
+		"maxy":                        -6.561031,
+		"mousewhere":                  -8.863616,
+		"move":                        -7.477321,
+		"moveBlocks":                  -7.765003,
+		"moveClaw":                    -7.765003,
+		"movement":                    -8.863616,
+		"n":                           -6.666391,
+		"name":                        -8.863616,
+		"new":                         -6.784174,
+		"not":                         -7.765003,
+		"now":                         -6.378709,
+		"objName":                     -8.863616,
+		"objType":                     -8.863616,
+		"objectType":                  -8.170469,
+		"of":                          -7.071856,
+		"on":                          -6.378709,
+		"open":                        -7.765003,
+		"or":                          -8.863616,
+		"p":                           -5.867883,
+		"pauseProgram":                -7.477321,
+		"pervasive":                   -7.254178,
+		"picID":                       -8.170469,
+		"playAgain":                   -7.765003,
+		"player":                      -8.863616,
+		"pointer":                     -7.477321,
+		"pointsplash":                 -8.170469,
+		"pper":                        -8.170469,
+		"proc":                        -7.071856,
+		"procedure":                   -5.685562,
+		"process":                     -8.863616,
+		"put":                         -5.772573,
+		"rangeHigh":                   -7.477321,
+		"rangeLow":                    -7.477321,
+		"rangeX":                      -5.605519,
+		"rangeY":                      -8.170469,
+		"read":                        -8.170469,
+		"real":                        -7.477321,
+		"realX":                       -7.765003,
+		"realY":                       -7.765003,
+		"realstr":                     -8.863616,
+		"record":                      -7.477321,
+		"reply":                       -8.170469,
+		"result":                      -7.254178,
+		"right":                       -6.378709,
+		"round":                       -6.224558,
+		"samePlayer":                  -5.973244,
+		"saveHS":                      -7.765003,
+		"score":                       -6.465720,
+		"scoreR":                      -5.605519,
+		"scoreRS":                     -7.765003,
+		"scoreY":                      -5.605519,
+		"scoreYS":                     -7.765003,
+		"scores":                      -7.477321,
+		"scrollSpd":                   -7.477321,
+		"scrollUp":                    -7.765003,
+		"setscreen":                   -7.477321,
+		"setup":                       -7.477321,
+		"shouldPlay":                  -7.765003,
+		"size":                        -5.919177,
+		"sortScores":                  -7.765003,
+		"spd":                         -6.378709,
+		"splash":                      -5.397880,
+		"splashFont":                  -8.170469,
+		"splashTime":                  -8.170469,
+		"stopBlock":                   -7.477321,
+		"string":                      -6.917706,
+		"subtitle":                    -7.254178,
+		"test":                        -7.477321,
+		"then":                        -3.763749,
+		"title":                       -7.477321,
+		"to":                          -7.477321,
+		"total":                       -7.071856,
+		"tree":                        -8.863616,
+		"true":                        -5.125946,
+		"type":                        -7.765003,
+		"update":                      -7.477321,
+		"upper":                       -5.531411,
+		"vSpd":                        -6.917706,
+		"var":                         -4.820564,
+		"verifies":                    -6.378709,
+		"when":                        -6.784174,
+		"win":                         -5.567779,
+		"winner":                      -7.765003,
+		"write":                       -7.477321,
+		"x":                           -3.008544,
+		"xValue":                      -7.477321,
+		"y":                           -5.308268,
+		"yValue":                      -6.784174,
+		"yellow":                      -6.917706,
 	},
 	"Turtle": map[string]float64{
 		",":                           -2.329984,
@@ -161361,102 +167170,318 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                                              -2.977962,
 	},
 	"Unix Assembly": map[string]float64{
-		"#":                        -3.580428,
-		"$":                        -2.733130,
-		"%":                        -3.985893,
-		"(":                        -5.777652,
-		")":                        -5.777652,
-		"+":                        -4.679040,
-		",":                        -2.194133,
-		"-":                        -3.831742,
-		".":                        -5.777652,
-		".align":                   -5.084505,
-		".arm":                     -5.777652,
-		".ascii":                   -5.084505,
-		".asciz":                   -5.777652,
-		".byte":                    -2.781920,
-		".cstring":                 -5.777652,
-		".endm":                    -5.777652,
-		".global":                  -5.777652,
-		".globl":                   -5.084505,
-		".long":                    -3.985893,
-		".macro":                   -5.777652,
-		".p":                       -5.084505,
-		".quad":                    -5.084505,
-		".section":                 -5.777652,
-		".set":                     -4.168214,
-		".subsections_via_symbols": -5.777652,
-		".text":                    -5.777652,
-		".thumb":                   -5.777652,
-		".word":                    -5.777652,
-		":":                        -2.733130,
-		"?":                        -4.679040,
-		"@":                        -5.084505,
-		"Confirm":                  -5.777652,
-		"EH_frame":                 -5.084505,
-		"L":                        -3.475067,
-		"LASFDE":                   -4.679040,
-		"LC":                       -5.084505,
-		"LCFI":                     -4.168214,
-		"LECIE":                    -5.084505,
-		"LEFDE":                    -5.084505,
-		"LFB":                      -4.391358,
-		"LFE":                      -5.084505,
-		"LSCIE":                    -5.084505,
-		"LSFDE":                    -5.777652,
-		"[":                        -4.679040,
-		"]":                        -4.679040,
-		"__TEXT":                   -5.777652,
-		"__eh_frame":               -5.777652,
-		"_main":                    -5.084505,
-		"_main.eh":                 -5.084505,
-		"_puts":                    -5.777652,
-		"_start":                   -5.084505,
-		"add":                      -5.084505,
-		"adr":                      -5.084505,
-		"align":                    -5.084505,
-		"angel":                    -5.777652,
-		"back_to_arm":              -5.084505,
-		"beq":                      -5.777652,
-		"bl":                       -5.777652,
-		"bne":                      -5.777652,
-		"bx":                       -5.084505,
-		"call":                     -5.084505,
-		"coalesced":                -5.777652,
-		"cr":                       -5.777652,
-		"done":                     -5.084505,
-		"eax":                      -5.777652,
-		"exit_code":                -5.084505,
-		"hello_text":               -5.777652,
-		"into_thumb":               -5.084505,
-		"invalid":                  -5.084505,
-		"ldr":                      -5.777652,
-		"ldrb":                     -5.084505,
-		"leaq":                     -5.777652,
-		"leave":                    -5.777652,
-		"live_support":             -5.777652,
-		"mov":                      -3.985893,
-		"movl":                     -5.777652,
-		"movq":                     -5.777652,
-		"no_toc":                   -5.777652,
-		"nop":                      -5.777652,
-		"number.":                  -5.777652,
-		"output_next":              -5.084505,
-		"pushq":                    -5.777652,
-		"r":                        -2.281145,
-		"rbp":                      -5.084505,
-		"rdi":                      -5.777652,
-		"ret":                      -5.777652,
-		"rip":                      -5.777652,
-		"rsp":                      -5.777652,
-		"set":                      -3.475067,
-		"skip_output":              -5.084505,
-		"stc":                      -5.777652,
-		"strip_static_syms":        -5.777652,
-		"sub":                      -5.084505,
-		"swi":                      -4.679040,
-		"teq":                      -5.084505,
-		"writec":                   -5.777652,
+		"!":                           -5.718211,
+		"#":                           -6.634502,
+		"#define":                     -4.530368,
+		"#elif":                       -7.550793,
+		"#else":                       -5.892565,
+		"#endif":                      -5.223515,
+		"#if":                         -6.164498,
+		"#ifdef":                      -6.298030,
+		"#ifndef":                     -6.539192,
+		"#include":                    -6.634502,
+		"$":                           -4.809953,
+		"%":                           -2.226564,
+		"&&":                          -5.248208,
+		"(":                           -2.469388,
+		")":                           -2.470942,
+		"*":                           -3.801289,
+		"+":                           -3.755303,
+		",":                           -2.241288,
+		"-":                           -4.061890,
+		".":                           -8.937087,
+		".L":                          -5.108446,
+		".Lend":                       -8.243940,
+		".Llarge":                     -8.243940,
+		".Llargealigned":              -8.243940,
+		".Lout":                       -7.838475,
+		".Lsmall":                     -8.243940,
+		".Lsmall_start":               -7.838475,
+		".abiversion":                 -8.937087,
+		".align":                      -7.550793,
+		".arm":                        -8.937087,
+		".ascii":                      -8.243940,
+		".asciz":                      -8.937087,
+		".byte":                       -5.941355,
+		".cstring":                    -8.937087,
+		".endm":                       -8.937087,
+		".global":                     -8.937087,
+		".globl":                      -8.243940,
+		".long":                       -7.145328,
+		".macro":                      -8.937087,
+		".p":                          -8.243940,
+		".quad":                       -8.243940,
+		".section":                    -8.937087,
+		".set":                        -7.327649,
+		".subsections_via_symbols":    -8.937087,
+		".text":                       -8.243940,
+		".thumb":                      -8.937087,
+		".word":                       -8.937087,
+		":":                           -4.732394,
+		";":                           -3.263764,
+		"<machine/asm.h>":             -8.937087,
+		"<machine/param.h>":           -8.937087,
+		"<machine/spr.h>":             -8.937087,
+		"<machine/trap.h>":            -8.937087,
+		"<machine/vmparam.h>":         -8.937087,
+		"<mmacy@freebsd.org>":         -8.937087,
+		"<sys/errno.h>":               -8.937087,
+		"<sys/syscall.h>":             -8.937087,
+		"?":                           -7.838475,
+		"@":                           -8.243940,
+		"A":                           -4.778204,
+		"AIM":                         -8.937087,
+		"ALIGN_":                      -5.892565,
+		"ALPHA":                       -7.550793,
+		"AOFFSET":                     -5.175887,
+		"ARGS":                        -6.103874,
+		"ASSEMBLER":                   -8.937087,
+		"B":                           -4.372739,
+		"BASE_SHIFT":                  -8.937087,
+		"BB":                          -5.410727,
+		"BOFFSET":                     -4.331917,
+		"BX":                          -7.145328,
+		"C":                           -6.857645,
+		"CASUEWORD":                   -7.145328,
+		"CLEAR_FAULT":                 -6.452180,
+		"CLEAR_FAULT_NO_CLOBBER":      -6.739862,
+		"CMPI":                        -7.550793,
+		"CMPLI":                       -7.550793,
+		"COPYFAULT":                   -8.243940,
+		"Confirm":                     -8.937087,
+		"DNOW":                        -8.243940,
+		"DOUBLE":                      -8.937087,
+		"EFAULT":                      -8.937087,
+		"EH_frame":                    -8.243940,
+		"ENAMETOOLONG":                -8.937087,
+		"ENTRY":                       -7.838475,
+		"ENTRY_DIRECT":                -5.941355,
+		"EPILOGUE":                    -5.892565,
+		"FADD":                        -6.991177,
+		"FLD":                         -4.302358,
+		"FMUL":                        -5.353568,
+		"FST":                         -6.298030,
+		"FUSUFAULT":                   -8.937087,
+		"HAVE_":                       -8.243940,
+		"HAVE_SSE":                    -8.937087,
+		"I":                           -6.991177,
+		"J":                           -7.838475,
+		"K":                           -6.229037,
+		"KERNEL":                      -7.327649,
+		"KK":                          -5.941355,
+		"KKK":                         -6.372138,
+		"L":                           -6.634502,
+		"LASFDE":                      -7.838475,
+		"LC":                          -8.243940,
+		"LCFI":                        -7.327649,
+		"LDC":                         -5.801593,
+		"LECIE":                       -8.243940,
+		"LEFDE":                       -8.243940,
+		"LEFT":                        -5.503100,
+		"LFB":                         -7.550793,
+		"LFE":                         -8.243940,
+		"LOAD":                        -5.892565,
+		"LOG_WORD":                    -7.838475,
+		"LOOP_LOG":                    -7.838475,
+		"LSCIE":                       -8.243940,
+		"LSFDE":                       -8.937087,
+		"M":                           -7.327649,
+		"N":                           -7.327649,
+		"NOBRANCH":                    -8.937087,
+		"OFFSET":                      -7.145328,
+		"PCB_ONFAULT":                 -7.550793,
+		"PCPU":                        -7.327649,
+		"PC_CURPCB":                   -7.550793,
+		"PREFETCH":                    -7.550793,
+		"PREFETCHSIZE":                -7.838475,
+		"PROFCODE":                    -8.937087,
+		"PROLOGUE":                    -5.992648,
+		"SET_COPYFAULT":               -7.838475,
+		"SET_COPYFAULT_TRUNCATE":      -8.243940,
+		"SET_FUSUFAULT":               -6.298030,
+		"SIZE":                        -3.766603,
+		"STACK":                       -5.992648,
+		"STACK_A":                     -7.145328,
+		"STACK_B":                     -6.452180,
+		"STACK_LDC":                   -7.838475,
+		"STORE":                       -5.846045,
+		"TRANSA":                      -6.046715,
+		"TRMMKERNEL":                  -5.410727,
+		"Thresh":                      -8.243940,
+		"VALIDATE_ADDR_COPY":          -7.550793,
+		"VALIDATE_ADDR_FUSU":          -7.838475,
+		"VALIDATE_TRUNCATE_ADDR_COPY": -7.838475,
+		"VM_MAXUSER_ADDRESS@h":        -7.838475,
+		"VM_MAXUSER_ADDRESS@l":        -7.838475,
+		"W":                           -7.838475,
+		"W##n":                        -8.937087,
+		"WORD":                        -6.991177,
+		"WORD*":                       -5.641250,
+		"WORDS":                       -7.550793,
+		"[":                           -7.838475,
+		"\\":                          -3.200515,
+		"]":                           -7.838475,
+		"_CALL_ELF":                   -8.243940,
+		"_NAKED_ENTRY":                -8.243940,
+		"__":                          -6.857645,
+		"__TEXT":                      -8.937087,
+		"__eh_frame":                  -8.937087,
+		"__powerpc":                   -6.857645,
+		"_main":                       -8.243940,
+		"_main.eh":                    -8.243940,
+		"_puts":                       -8.937087,
+		"_start":                      -8.243940,
+		"add":                         -7.838475,
+		"addi":                        -5.992648,
+		"addl":                        -5.569791,
+		"adr":                         -8.243940,
+		"align":                       -8.243940,
+		"and":                         -7.838475,
+		"andi.":                       -8.937087,
+		"andl":                        -7.838475,
+		"angel":                       -8.937087,
+		"b":                           -6.634502,
+		"back_to_arm":                 -8.243940,
+		"bcopy_generic":               -7.838475,
+		"bdnz":                        -8.243940,
+		"bdz":                         -8.937087,
+		"beq":                         -7.145328,
+		"bf":                          -7.145328,
+		"bge":                         -8.243940,
+		"bl":                          -7.838475,
+		"ble":                         -8.937087,
+		"blr":                         -7.838475,
+		"blt":                         -7.550793,
+		"bne":                         -7.327649,
+		"bt":                          -8.937087,
+		"bx":                          -8.243940,
+		"call":                        -8.243940,
+		"casueword":                   -7.550793,
+		"cmpdi":                       -8.937087,
+		"cmpld":                       -8.937087,
+		"cmpldi":                      -8.937087,
+		"cmplw":                       -7.145328,
+		"cmplwi":                      -8.937087,
+		"cmpwi":                       -7.838475,
+		"coalesced":                   -8.937087,
+		"copy_fault":                  -7.145328,
+		"copyin":                      -8.937087,
+		"copyinstr":                   -8.937087,
+		"copyout":                     -8.937087,
+		"cr":                          -8.937087,
+		"dcbt":                        -8.937087,
+		"dcbtst":                      -8.937087,
+		"decl":                        -6.739862,
+		"defined":                     -4.660421,
+		"done":                        -8.243940,
+		"eax":                         -3.585229,
+		"ebp":                         -7.838475,
+		"ebx":                         -7.838475,
+		"ecx":                         -8.937087,
+		"edi":                         -5.471351,
+		"edx":                         -8.937087,
+		"esi":                         -7.838475,
+		"esp":                         -5.941355,
+		"exit_code":                   -8.243940,
+		"faddp":                       -4.331917,
+		"ffreep":                      -7.145328,
+		"fldz":                        -6.452180,
+		"fmul":                        -4.778204,
+		"fmulp":                       -8.243940,
+		"fubyte":                      -8.937087,
+		"fueword":                     -7.550793,
+		"fusufault":                   -7.838475,
+		"fuword":                      -8.937087,
+		"fxch":                        -8.243940,
+		"hello_text":                  -8.937087,
+		"into_thumb":                  -8.243940,
+		"invalid":                     -8.243940,
+		"isel":                        -8.937087,
+		"je":                          -6.739862,
+		"jge":                         -7.838475,
+		"jl":                          -8.937087,
+		"jle":                         -7.838475,
+		"jne":                         -6.739862,
+		"lbz":                         -7.838475,
+		"lbzu":                        -8.937087,
+		"ld":                          -7.550793,
+		"ldarx":                       -8.937087,
+		"ldr":                         -8.937087,
+		"ldrb":                        -8.243940,
+		"leal":                        -5.641250,
+		"leaq":                        -8.937087,
+		"leave":                       -8.937087,
+		"len":                         -6.539192,
+		"lhz":                         -8.243940,
+		"li":                          -6.372138,
+		"lis":                         -7.838475,
+		"live_support":                -8.937087,
+		"lwarx":                       -8.937087,
+		"lwz":                         -7.327649,
+		"mfctr":                       -8.937087,
+		"mflr":                        -8.243940,
+		"mfsprg":                      -8.937087,
+		"mov":                         -7.145328,
+		"movl":                        -4.747432,
+		"movq":                        -8.937087,
+		"mr":                          -7.838475,
+		"mtcrf":                       -7.838475,
+		"mtctr":                       -7.838475,
+		"mtlr":                        -8.243940,
+		"n":                           -8.937087,
+		"neg":                         -8.937087,
+		"negl":                        -8.243940,
+		"no_toc":                      -8.937087,
+		"nop":                         -6.857645,
+		"number.":                     -8.937087,
+		"ori":                         -7.838475,
+		"output_next":                 -8.243940,
+		"popl":                        -7.550793,
+		"prefetch":                    -8.937087,
+		"prefetchnta":                 -8.937087,
+		"prefetcht":                   -7.327649,
+		"prefetchw":                   -6.991177,
+		"pushl":                       -7.550793,
+		"pushq":                       -8.937087,
+		"r":                           -3.477502,
+		"raddr":                       -5.801593,
+		"rbp":                         -8.243940,
+		"rd":                          -5.299501,
+		"rdi":                         -8.937087,
+		"reg":                         -8.243940,
+		"ret":                         -8.243940,
+		"rip":                         -8.937087,
+		"rl":                          -6.991177,
+		"rpcb":                        -5.941355,
+		"rs":                          -5.326169,
+		"rsp":                         -8.937087,
+		"sall":                        -8.937087,
+		"sarl":                        -7.838475,
+		"set":                         -6.634502,
+		"skip_output":                 -8.243940,
+		"srdi":                        -8.243940,
+		"srwi.":                       -8.937087,
+		"st":                          -3.108141,
+		"stb":                         -7.838475,
+		"stbu":                        -8.937087,
+		"stc":                         -8.937087,
+		"std":                         -6.991177,
+		"stdcx.":                      -8.243940,
+		"sth":                         -8.937087,
+		"strip_static_syms":           -8.937087,
+		"stw":                         -6.857645,
+		"stwcx.":                      -8.243940,
+		"sub":                         -7.327649,
+		"subl":                        -6.452180,
+		"subyte":                      -8.937087,
+		"suword":                      -7.550793,
+		"swi":                         -7.838475,
+		"t":                           -4.966795,
+		"teq":                         -8.243940,
+		"testl":                       -7.838475,
+		"writec":                      -8.937087,
+		"x":                           -7.550793,
+		"||":                          -6.452180,
 	},
 	"Uno": map[string]float64{
 		"!":                                  -5.356586,
@@ -162972,405 +168997,437 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"use":             -3.713572,
 	},
 	"Verilog": map[string]float64{
-		"!":                              -5.973578,
-		"#":                              -4.760556,
-		"$":                              -7.678326,
-		"&":                              -6.579714,
-		"&&":                             -7.272861,
-		"'":                              -7.678326,
-		"(":                              -2.436579,
-		")":                              -2.447218,
-		"*":                              -6.579714,
-		"+":                              -4.707912,
-		",":                              -2.615731,
-		"-":                              -4.040740,
-		".BITS":                          -8.371474,
-		".C":                             -6.579714,
-		".CE":                            -6.579714,
-		".CLK_FREQUENCY":                 -8.371474,
-		".D":                             -6.579714,
-		".DEBOUNCE_HZ":                   -8.371474,
-		".INIT":                          -6.579714,
-		".INPUT_BITS":                    -8.371474,
-		".Q":                             -6.579714,
-		".R":                             -6.579714,
-		".S":                             -6.579714,
-		".bitmask":                       -7.678326,
-		".button":                        -8.371474,
-		".chain_four":                    -7.678326,
-		".clk":                           -6.579714,
-		".clk_i":                         -8.371474,
-		".color_compare":                 -7.678326,
-		".color_dont_care":               -7.678326,
-		".command_was_sent":              -8.371474,
-		".csr_adr_i":                     -8.371474,
-		".csr_adr_o":                     -8.371474,
-		".csr_dat_i":                     -8.371474,
-		".csr_dat_o":                     -8.371474,
-		".csr_stb_i":                     -8.371474,
-		".csr_stb_o":                     -8.371474,
-		".csrm_adr_o":                    -8.371474,
-		".csrm_dat_i":                    -8.371474,
-		".csrm_dat_o":                    -8.371474,
-		".csrm_sel_o":                    -8.371474,
-		".csrm_we_o":                     -8.371474,
-		".cur_end":                       -7.678326,
-		".cur_start":                     -7.678326,
-		".dac_read_data":                 -7.678326,
-		".dac_read_data_cycle":           -7.678326,
-		".dac_read_data_register":        -7.678326,
-		".dac_we":                        -7.678326,
-		".dac_write_data":                -7.678326,
-		".dac_write_data_cycle":          -7.678326,
-		".dac_write_data_register":       -7.678326,
-		".data_valid":                    -7.678326,
-		".debounce":                      -8.371474,
-		".div_by_zero":                   -8.371474,
-		".dividend":                      -8.371474,
-		".divisor":                       -8.371474,
-		".en":                            -6.985179,
-		".enable_set_reset":              -7.678326,
-		".end_hor_retr":                  -7.678326,
-		".end_horiz":                     -7.678326,
-		".end_ver_retr":                  -7.678326,
-		".end_vert":                      -7.678326,
-		".error_communication_timed_out": -8.371474,
-		".graphics_alpha":                -7.678326,
-		".hcursor":                       -7.678326,
-		".horiz_sync":                    -8.371474,
-		".horiz_total":                   -7.678326,
-		".map_mask":                      -7.678326,
-		".memory_mapping":                -7.678326,
-		".num":                           -6.985179,
-		".pal_addr":                      -7.678326,
-		".pal_read":                      -7.678326,
-		".pal_we":                        -7.678326,
-		".pal_write":                     -7.678326,
-		".ps":                            -6.425563,
-		".quotient":                      -8.371474,
-		".radicand":                      -8.371474,
-		".raster_op":                     -7.678326,
-		".read_map_select":               -7.678326,
-		".read_mode":                     -7.678326,
-		".received_data":                 -8.371474,
-		".received_data_en":              -8.371474,
-		".reset":                         -7.678326,
-		".reset_n":                       -7.272861,
-		".root":                          -8.371474,
-		".rst":                           -8.371474,
-		".rst_i":                         -8.371474,
-		".seg":                           -6.985179,
-		".send_command":                  -8.371474,
-		".set_reset":                     -7.678326,
-		".shift_reg":                     -7.678326,
-		".st_hor_retr":                   -7.678326,
-		".st_ver_retr":                   -7.678326,
-		".start":                         -7.678326,
-		".start_addr":                    -8.371474,
-		".start_receiving_data":          -8.371474,
-		".the_command":                   -8.371474,
-		".v_retrace":                     -7.678326,
-		".vcursor":                       -7.678326,
-		".vert_sync":                     -8.371474,
-		".vert_total":                    -7.678326,
-		".vga_blue_o":                    -8.371474,
-		".vga_green_o":                   -8.371474,
-		".vga_red_o":                     -8.371474,
-		".vh_retrace":                    -7.678326,
-		".wait_for_incoming_data":        -8.371474,
-		".wb_ack_o":                      -7.678326,
-		".wb_adr_i":                      -7.678326,
-		".wb_clk_i":                      -7.678326,
-		".wb_dat_i":                      -7.678326,
-		".wb_dat_o":                      -7.678326,
-		".wb_rst_i":                      -7.678326,
-		".wb_sel_i":                      -7.678326,
-		".wb_stb_i":                      -7.678326,
-		".wb_we_i":                       -7.678326,
-		".wbm_ack_i":                     -8.371474,
-		".wbm_adr_o":                     -8.371474,
-		".wbm_dat_i":                     -8.371474,
-		".wbm_dat_o":                     -8.371474,
-		".wbm_sel_o":                     -8.371474,
-		".wbm_stb_o":                     -8.371474,
-		".wbm_we_o":                      -8.371474,
-		".wbs_ack_o":                     -8.371474,
-		".wbs_adr_i":                     -8.371474,
-		".wbs_dat_i":                     -8.371474,
-		".wbs_dat_o":                     -8.371474,
-		".wbs_sel_i":                     -8.371474,
-		".wbs_stb_i":                     -8.371474,
-		".wbs_we_i":                      -8.371474,
-		".write_mode":                    -7.678326,
-		".x_dotclockdiv":                 -7.678326,
-		"/":                              -5.973578,
-		"//////////////////////////////////////////////////////////////////////////////": -5.732416,
-		":":                              -3.103615,
-		";":                              -2.705047,
-		"<":                              -4.439648,
-		"<<":                             -7.678326,
-		"<dpolehn@verizon.net>":          -8.371474,
-		"<http://www.gnu.org/licenses/>": -5.806524,
-		"<zeus@aluzina.org>":             -8.371474,
-		"<zeus@opencores.org>":           -8.371474,
-		"=":                              -3.129727,
-		">":                              -6.579714,
-		"?":                              -6.174249,
-		"@":                              -5.538260,
-		"BITS":                           -7.678326,
-		"BIT_WIDTH":                      -6.762036,
-		"BIT_WIDTH*":                     -6.762036,
-		"BIT_WIDTH*i":                    -7.678326,
-		"CLK_FREQUENCY":                  -6.985179,
-		"COUNT":                          -6.985179,
-		"COUNT_VALUE":                    -7.678326,
-		"DEBOUNCE_HZ":                    -6.985179,
-		"DFF":                            -6.579714,
-		"FDRSE":                          -6.579714,
-		"FIRE":                           -6.985179,
-		"INPUT_BITS":                     -5.280431,
-		"INPUT_BITS*":                    -5.004178,
-		"INPUT_BITS*i":                   -6.425563,
-		"INPUT_WIDTH":                    -6.762036,
-		"NUMBER_OF_STAGES":               -6.425563,
-		"OUTPUT_BITS":                    -5.886567,
-		"OUTPUT_BITS*INPUT_BITS":         -5.973578,
-		"OUTPUT_WIDTH":                   -6.985179,
-		"PS":                             -5.280431,
-		"WAIT":                           -6.579714,
-		"[":                              -3.161987,
-		"]":                              -3.161987,
-		"^":                              -5.806524,
-		"_COMMAND_OUT":                   -7.678326,
-		"_DATA_IN":                       -7.272861,
-		"_END_DELAYED":                   -6.985179,
-		"_END_TRANSFER":                  -7.272861,
-		"_IDLE":                          -6.068888,
-		"_STATE_":                        -5.280431,
-		"_clk":                           -6.292032,
-		"_clk_negedge":                   -6.762036,
-		"_clk_posedge":                   -6.762036,
-		"_clk_reg":                       -6.985179,
-		"_dat":                           -6.985179,
-		"_data":                          -8.371474,
-		"_data_reg":                      -6.762036,
-		"_mouse":                         -8.371474,
-		"_mouse_cmdout":                  -8.371474,
-		"_mouse_datain":                  -8.371474,
-		"_transceiver":                   -5.326951,
-		"`":                              -6.068888,
-		"a":                              -7.272861,
-		"always":                         -5.235979,
-		"an":                             -6.579714,
-		"assign":                         -5.235979,
-		"b":                              -4.542832,
-		"begin":                          -4.542832,
-		"bitmask":                        -7.272861,
-		"button":                         -5.193420,
-		"button_debounce":                -7.272861,
-		"bx":                             -6.985179,
-		"c":                              -7.272861,
-		"case":                           -7.272861,
-		"ch":                             -8.371474,
-		"chain_four":                     -7.272861,
-		"clk":                            -4.682594,
-		"color_compare":                  -7.272861,
-		"color_dont_care":                -7.272861,
-		"command_was_sent":               -7.678326,
-		"conf_wb_ack_o":                  -7.272861,
-		"conf_wb_dat_o":                  -7.272861,
-		"control":                        -8.371474,
-		"count":                          -6.579714,
-		"cout":                           -6.985179,
-		"cpu_mem_iface":                  -8.371474,
-		"csr_adr_i":                      -7.272861,
-		"csr_adr_o":                      -7.678326,
-		"csr_dat_i":                      -7.272861,
-		"csr_stb_i":                      -7.678326,
-		"csr_stb_o":                      -7.272861,
-		"csrm_adr_o":                     -7.678326,
-		"csrm_dat_i":                     -7.678326,
-		"csrm_dat_o":                     -7.678326,
-		"csrm_sel_o":                     -7.678326,
-		"csrm_we_o":                      -7.678326,
-		"cur_end":                        -7.272861,
-		"cur_start":                      -7.272861,
-		"d":                              -7.272861,
-		"dac_read_data":                  -7.272861,
-		"dac_read_data_cycle":            -7.272861,
-		"dac_read_data_register":         -7.272861,
-		"dac_we":                         -7.272861,
-		"dac_write_data":                 -7.272861,
-		"dac_write_data_cycle":           -7.272861,
-		"dac_write_data_register":        -7.272861,
-		"data_valid":                     -6.425563,
-		"debounce":                       -6.579714,
-		"default":                        -7.678326,
-		"div_by_zero":                    -7.678326,
-		"div_pipelined":                  -7.678326,
-		"dividend":                       -7.272861,
-		"divisor":                        -6.762036,
-		"dsp_sel":                        -6.174249,
-		"e":                              -6.762036,
-		"else":                           -5.280431,
-		"en":                             -5.806524,
-		"enable_set_reset":               -7.272861,
-		"end":                            -4.500273,
-		"end_hor_retr":                   -7.272861,
-		"end_horiz":                      -7.272861,
-		"end_ver_retr":                   -7.272861,
-		"end_vert":                       -7.272861,
-		"endcase":                        -7.272861,
-		"endgenerate":                    -7.272861,
-		"endmodule":                      -5.481102,
-		"error_communication_timed_out":  -7.272861,
-		"f":                              -7.678326,
-		"finish":                         -7.678326,
-		"for":                            -6.985179,
-		"g":                              -7.678326,
-		"gen_sign_extend":                -8.371474,
-		"generate":                       -7.272861,
-		"genvar":                         -7.272861,
-		"graphics_alpha":                 -6.985179,
-		"h":                              -6.579714,
-		"hcursor":                        -7.272861,
-		"hex":                            -6.292032,
-		"hex_display":                    -8.371474,
-		"hex_group":                      -6.985179,
-		"horiz_sync":                     -7.678326,
-		"horiz_total":                    -7.272861,
-		"i":                              -4.311031,
-		"i/":                             -7.678326,
-		"idle_counter":                   -6.985179,
-		"if":                             -5.235979,
-		"initial":                        -7.272861,
-		"inout":                          -7.678326,
-		"input":                          -4.760556,
-		"j":                              -7.678326,
-		"k":                              -7.678326,
-		"l":                              -7.678326,
-		"last_ps":                        -6.985179,
-		"lcd":                            -8.371474,
-		"localparam":                     -6.985179,
-		"maj":                            -8.371474,
-		"map_mask":                       -7.272861,
-		"mask_":                          -8.371474,
-		"mask_gen":                       -6.174249,
-		"mem_arbitrer":                   -8.371474,
-		"mem_wb_ack_o":                   -7.272861,
-		"mem_wb_dat_o":                   -7.272861,
-		"memory_mapping":                 -7.272861,
-		"module":                         -5.481102,
-		"mouse_cmdout":                   -8.371474,
-		"mouse_datain":                   -8.371474,
-		"mux":                            -8.371474,
-		"negedge":                        -6.292032,
-		"next_state":                     -6.579714,
-		"ns":                             -6.292032,
-		"ns/":                            -7.678326,
-		"ns_ps":                          -5.806524,
-		"num":                            -6.762036,
-		"o":                              -6.579714,
-		"opA":                            -6.985179,
-		"opB":                            -7.272861,
-		"or":                             -5.732416,
-		"original":                       -7.272861,
-		"out":                            -6.762036,
-		"output":                         -4.816125,
-		"pal_addr":                       -7.272861,
-		"pal_read":                       -7.272861,
-		"pal_we":                         -7.272861,
-		"pal_write":                      -7.272861,
-		"parameter":                      -6.425563,
-		"pipe_gen":                       -6.579714,
-		"pipe_in":                        -6.985179,
-		"pipe_out":                       -6.762036,
-		"pipeline":                       -7.678326,
-		"pipeline_registers":             -8.371474,
-		"pipeline_stage":                 -8.371474,
-		"posedge":                        -5.973578,
-		"ps":                             -4.845113,
-		"quotient":                       -7.678326,
-		"quotient_correct":               -8.371474,
-		"radicand":                       -6.068888,
-		"radicand_gen":                   -6.068888,
-		"raster_op":                      -7.272861,
-		"read_map_select":                -7.272861,
-		"read_mode":                      -7.272861,
-		"received_data":                  -7.678326,
-		"received_data_en":               -6.985179,
-		"reg":                            -5.113377,
-		"reset":                          -6.762036,
-		"reset_n":                        -4.905738,
-		"root":                           -6.579714,
-		"root_gen":                       -5.663423,
-		"s":                              -7.678326,
-		"s_ps":                           -6.292032,
-		"seg_":                           -6.985179,
-		"send_command":                   -7.678326,
-		"set_reset":                      -7.272861,
-		"shift_reg":                      -7.272861,
-		"sign_extend":                    -7.272861,
-		"sign_extended_original":         -7.678326,
-		"sign_extender":                  -8.371474,
-		"sqrt_pipelined":                 -7.272861,
-		"st_hor_retr":                    -7.272861,
-		"st_ver_retr":                    -7.272861,
-		"start":                          -5.973578,
-		"start_addr":                     -7.678326,
-		"start_gen":                      -6.425563,
-		"start_receiving_data":           -7.272861,
-		"state":                          -6.579714,
-		"stb":                            -6.985179,
-		"sum":                            -6.762036,
-		"t_button_debounce":              -8.371474,
-		"t_div_pipelined":                -8.371474,
-		"t_sqrt_pipelined":               -8.371474,
-		"the_command":                    -7.678326,
-		"timescale":                      -6.068888,
-		"v_retrace":                      -7.272861,
-		"vcursor":                        -7.272861,
-		"vert_sync":                      -7.678326,
-		"vert_total":                     -7.272861,
-		"vga":                            -8.371474,
-		"vga_blue_o":                     -7.678326,
-		"vga_cpu_mem_iface":              -8.371474,
-		"vga_green_o":                    -7.678326,
-		"vga_lcd":                        -8.371474,
-		"vga_mem_arbitrer":               -8.371474,
-		"vga_red_o":                      -7.678326,
-		"vh_retrace":                     -7.272861,
-		"w_vert_sync":                    -7.272861,
-		"wait_for_incoming_data":         -7.272861,
-		"wb_ack_o":                       -7.678326,
-		"wb_adr_i":                       -7.272861,
-		"wb_clk_i":                       -6.579714,
-		"wb_cyc_i":                       -7.678326,
-		"wb_dat_i":                       -7.272861,
-		"wb_dat_o":                       -7.678326,
-		"wb_rst_i":                       -6.579714,
-		"wb_sel_i":                       -7.272861,
-		"wb_stb_i":                       -7.678326,
-		"wb_tga_i":                       -6.762036,
-		"wb_we_i":                        -7.272861,
-		"wbm_ack_i":                      -7.272861,
-		"wbm_adr_o":                      -7.272861,
-		"wbm_dat_i":                      -7.272861,
-		"wbm_dat_o":                      -7.272861,
-		"wbm_sel_o":                      -7.272861,
-		"wbm_stb_o":                      -7.272861,
-		"wbm_we_o":                       -7.272861,
-		"wire":                           -4.166781,
-		"write_mode":                     -7.272861,
-		"x":                              -4.657901,
-		"x_dotclockdiv":                  -7.272861,
-		"y":                              -5.326951,
-		"z":                              -6.425563,
-		"{":                              -5.973578,
-		"|":                              -7.678326,
-		"||":                             -8.371474,
-		"}":                              -5.973578,
-		"~":                              -5.806524,
+		"!":                              -6.033086,
+		"#":                              -4.820064,
+		"$":                              -7.737834,
+		"&":                              -6.639222,
+		"&&":                             -7.332369,
+		"'":                              -7.737834,
+		"(":                              -2.469976,
+		")":                              -2.480339,
+		"*":                              -6.639222,
+		"+":                              -4.580834,
+		",":                              -2.647156,
+		"-":                              -4.087176,
+		".BITS":                          -8.430981,
+		".C":                             -6.639222,
+		".CE":                            -6.639222,
+		".CLK_FREQUENCY":                 -8.430981,
+		".D":                             -6.639222,
+		".DEBOUNCE_HZ":                   -8.430981,
+		".INIT":                          -6.639222,
+		".INPUT_BITS":                    -8.430981,
+		".Q":                             -6.639222,
+		".R":                             -6.639222,
+		".S":                             -6.639222,
+		".bitmask":                       -7.737834,
+		".button":                        -8.430981,
+		".chain_four":                    -7.737834,
+		".clk":                           -6.639222,
+		".clk_i":                         -8.430981,
+		".color_compare":                 -7.737834,
+		".color_dont_care":               -7.737834,
+		".command_was_sent":              -8.430981,
+		".csr_adr_i":                     -8.430981,
+		".csr_adr_o":                     -8.430981,
+		".csr_dat_i":                     -8.430981,
+		".csr_dat_o":                     -8.430981,
+		".csr_stb_i":                     -8.430981,
+		".csr_stb_o":                     -8.430981,
+		".csrm_adr_o":                    -8.430981,
+		".csrm_dat_i":                    -8.430981,
+		".csrm_dat_o":                    -8.430981,
+		".csrm_sel_o":                    -8.430981,
+		".csrm_we_o":                     -8.430981,
+		".cur_end":                       -7.737834,
+		".cur_start":                     -7.737834,
+		".dac_read_data":                 -7.737834,
+		".dac_read_data_cycle":           -7.737834,
+		".dac_read_data_register":        -7.737834,
+		".dac_we":                        -7.737834,
+		".dac_write_data":                -7.737834,
+		".dac_write_data_cycle":          -7.737834,
+		".dac_write_data_register":       -7.737834,
+		".data_valid":                    -7.737834,
+		".debounce":                      -8.430981,
+		".div_by_zero":                   -8.430981,
+		".dividend":                      -8.430981,
+		".divisor":                       -8.430981,
+		".en":                            -7.044687,
+		".enable_set_reset":              -7.737834,
+		".end_hor_retr":                  -7.737834,
+		".end_horiz":                     -7.737834,
+		".end_ver_retr":                  -7.737834,
+		".end_vert":                      -7.737834,
+		".error_communication_timed_out": -8.430981,
+		".graphics_alpha":                -7.737834,
+		".hcursor":                       -7.737834,
+		".horiz_sync":                    -8.430981,
+		".horiz_total":                   -7.737834,
+		".map_mask":                      -7.737834,
+		".memory_mapping":                -7.737834,
+		".num":                           -7.044687,
+		".pal_addr":                      -7.737834,
+		".pal_read":                      -7.737834,
+		".pal_we":                        -7.737834,
+		".pal_write":                     -7.737834,
+		".ps":                            -6.485071,
+		".quotient":                      -8.430981,
+		".radicand":                      -8.430981,
+		".raster_op":                     -7.737834,
+		".read_map_select":               -7.737834,
+		".read_mode":                     -7.737834,
+		".received_data":                 -8.430981,
+		".received_data_en":              -8.430981,
+		".reset":                         -7.737834,
+		".reset_n":                       -7.332369,
+		".root":                          -8.430981,
+		".rst":                           -8.430981,
+		".rst_i":                         -8.430981,
+		".seg":                           -7.044687,
+		".send_command":                  -8.430981,
+		".set_reset":                     -7.737834,
+		".shift_reg":                     -7.737834,
+		".st_hor_retr":                   -7.737834,
+		".st_ver_retr":                   -7.737834,
+		".start":                         -7.737834,
+		".start_addr":                    -8.430981,
+		".start_receiving_data":          -8.430981,
+		".the_command":                   -8.430981,
+		".v_retrace":                     -7.737834,
+		".vcursor":                       -7.737834,
+		".vert_sync":                     -8.430981,
+		".vert_total":                    -7.737834,
+		".vga_blue_o":                    -8.430981,
+		".vga_green_o":                   -8.430981,
+		".vga_red_o":                     -8.430981,
+		".vh_retrace":                    -7.737834,
+		".wait_for_incoming_data":        -8.430981,
+		".wb_ack_o":                      -7.737834,
+		".wb_adr_i":                      -7.737834,
+		".wb_clk_i":                      -7.737834,
+		".wb_dat_i":                      -7.737834,
+		".wb_dat_o":                      -7.737834,
+		".wb_rst_i":                      -7.737834,
+		".wb_sel_i":                      -7.737834,
+		".wb_stb_i":                      -7.737834,
+		".wb_we_i":                       -7.737834,
+		".wbm_ack_i":                     -8.430981,
+		".wbm_adr_o":                     -8.430981,
+		".wbm_dat_i":                     -8.430981,
+		".wbm_dat_o":                     -8.430981,
+		".wbm_sel_o":                     -8.430981,
+		".wbm_stb_o":                     -8.430981,
+		".wbm_we_o":                      -8.430981,
+		".wbs_ack_o":                     -8.430981,
+		".wbs_adr_i":                     -8.430981,
+		".wbs_dat_i":                     -8.430981,
+		".wbs_dat_o":                     -8.430981,
+		".wbs_sel_i":                     -8.430981,
+		".wbs_stb_i":                     -8.430981,
+		".wbs_we_i":                      -8.430981,
+		".write_mode":                    -7.737834,
+		".x_dotclockdiv":                 -7.737834,
+		"/":                              -6.033086,
+		"//////////////////////////////////////////////////////////////////////////////": -5.791924,
+		":":                              -3.083874,
+		";":                              -2.691189,
+		"<":                              -4.336637,
+		"<<":                             -7.737834,
+		"<dpolehn@verizon.net>":          -8.430981,
+		"<http://www.gnu.org/licenses/>": -5.866032,
+		"<zeus@aluzina.org>":             -8.430981,
+		"<zeus@opencores.org>":           -8.430981,
+		"=":                              -3.132664,
+		">":                              -6.639222,
+		"?":                              -6.233757,
+		"@":                              -5.540610,
+		"BITS":                           -7.737834,
+		"BIT_WIDTH":                      -6.821544,
+		"BIT_WIDTH*":                     -6.821544,
+		"BIT_WIDTH*i":                    -7.737834,
+		"CLK":                            -7.737834,
+		"CLK_FREQUENCY":                  -7.044687,
+		"COUNT":                          -7.044687,
+		"COUNT_VALUE":                    -7.737834,
+		"DEBOUNCE_HZ":                    -7.044687,
+		"DFF":                            -6.639222,
+		"FDRSE":                          -6.639222,
+		"FIRE":                           -7.044687,
+		"INPUT_BITS":                     -5.339939,
+		"INPUT_BITS*":                    -5.063686,
+		"INPUT_BITS*i":                   -6.485071,
+		"INPUT_WIDTH":                    -6.821544,
+		"NUMBER_OF_STAGES":               -6.485071,
+		"OUTPUT_BITS":                    -5.946075,
+		"OUTPUT_BITS*INPUT_BITS":         -6.033086,
+		"OUTPUT_WIDTH":                   -7.044687,
+		"PS":                             -5.339939,
+		"RAM":                            -8.430981,
+		"RAM_COL_MAX":                    -7.737834,
+		"STORE_B":                        -8.430981,
+		"STORE_H":                        -8.430981,
+		"STORE_W":                        -8.430981,
+		"WAIT":                           -6.639222,
+		"[":                              -3.098263,
+		"]":                              -3.098263,
+		"^":                              -5.866032,
+		"_COMMAND_OUT":                   -7.737834,
+		"_DATA_IN":                       -7.332369,
+		"_END_DELAYED":                   -7.044687,
+		"_END_TRANSFER":                  -7.332369,
+		"_IDLE":                          -6.128396,
+		"_STATE_":                        -5.339939,
+		"_clk":                           -6.351540,
+		"_clk_negedge":                   -6.821544,
+		"_clk_posedge":                   -6.821544,
+		"_clk_reg":                       -7.044687,
+		"_dat":                           -7.044687,
+		"_data":                          -8.430981,
+		"_data_reg":                      -6.821544,
+		"_mouse":                         -8.430981,
+		"_mouse_cmdout":                  -8.430981,
+		"_mouse_datain":                  -8.430981,
+		"_transceiver":                   -5.386459,
+		"`":                              -5.658393,
+		"a":                              -7.332369,
+		"addr":                           -5.946075,
+		"always":                         -5.252928,
+		"an":                             -6.639222,
+		"assign":                         -5.252928,
+		"b":                              -4.602340,
+		"begin":                          -4.441997,
+		"bitmask":                        -7.332369,
+		"button":                         -5.252928,
+		"button_debounce":                -7.332369,
+		"bx":                             -7.044687,
+		"c":                              -7.332369,
+		"case":                           -7.044687,
+		"ch":                             -8.430981,
+		"chain_four":                     -7.332369,
+		"clk":                            -4.742102,
+		"color_compare":                  -7.332369,
+		"color_dont_care":                -7.332369,
+		"command_was_sent":               -7.737834,
+		"conf_wb_ack_o":                  -7.332369,
+		"conf_wb_dat_o":                  -7.332369,
+		"control":                        -8.430981,
+		"count":                          -6.639222,
+		"cout":                           -7.044687,
+		"cpu_mem_iface":                  -8.430981,
+		"csr_adr_i":                      -7.332369,
+		"csr_adr_o":                      -7.737834,
+		"csr_dat_i":                      -7.332369,
+		"csr_stb_i":                      -7.737834,
+		"csr_stb_o":                      -7.332369,
+		"csrm_adr_o":                     -7.737834,
+		"csrm_dat_i":                     -7.737834,
+		"csrm_dat_o":                     -7.737834,
+		"csrm_sel_o":                     -7.737834,
+		"csrm_we_o":                      -7.737834,
+		"cur_end":                        -7.332369,
+		"cur_start":                      -7.332369,
+		"d":                              -7.332369,
+		"dac_read_data":                  -7.332369,
+		"dac_read_data_cycle":            -7.332369,
+		"dac_read_data_register":         -7.332369,
+		"dac_we":                         -7.332369,
+		"dac_write_data":                 -7.332369,
+		"dac_write_data_cycle":           -7.332369,
+		"dac_write_data_register":        -7.332369,
+		"data_valid":                     -6.485071,
+		"debounce":                       -6.639222,
+		"default":                        -7.737834,
+		"div_by_zero":                    -7.737834,
+		"div_pipelined":                  -7.737834,
+		"dividend":                       -7.332369,
+		"divisor":                        -6.821544,
+		"do_store":                       -7.737834,
+		"dsp_sel":                        -6.233757,
+		"e":                              -6.821544,
+		"else":                           -5.295487,
+		"en":                             -5.866032,
+		"enable_set_reset":               -7.332369,
+		"end":                            -4.405630,
+		"end_hor_retr":                   -7.332369,
+		"end_horiz":                      -7.332369,
+		"end_ver_retr":                   -7.332369,
+		"end_vert":                       -7.332369,
+		"endcase":                        -7.044687,
+		"endgenerate":                    -7.332369,
+		"endmodule":                      -5.486543,
+		"endtask":                        -7.044687,
+		"error_communication_timed_out":  -7.332369,
+		"f":                              -7.737834,
+		"finish":                         -7.737834,
+		"for":                            -6.821544,
+		"g":                              -7.737834,
+		"gen_sign_extend":                -8.430981,
+		"generate":                       -7.332369,
+		"genvar":                         -7.332369,
+		"graphics_alpha":                 -7.044687,
+		"h":                              -6.639222,
+		"hcursor":                        -7.332369,
+		"hex":                            -6.351540,
+		"hex_display":                    -8.430981,
+		"hex_group":                      -7.044687,
+		"horiz_sync":                     -7.737834,
+		"horiz_total":                    -7.332369,
+		"i":                              -4.272098,
+		"i/":                             -7.737834,
+		"idle_counter":                   -7.044687,
+		"if":                             -5.212106,
+		"include":                        -8.430981,
+		"initial":                        -7.332369,
+		"inout":                          -7.737834,
+		"input":                          -4.717409,
+		"integer":                        -8.430981,
+		"j":                              -7.737834,
+		"k":                              -7.737834,
+		"l":                              -7.737834,
+		"last_ps":                        -7.044687,
+		"lcd":                            -8.430981,
+		"localparam":                     -7.044687,
+		"maj":                            -8.430981,
+		"map_mask":                       -7.332369,
+		"mask_":                          -8.430981,
+		"mask_gen":                       -6.233757,
+		"mem_arbitrer":                   -8.430981,
+		"mem_wb_ack_o":                   -7.332369,
+		"mem_wb_dat_o":                   -7.332369,
+		"memory_mapping":                 -7.332369,
+		"memwrite":                       -7.737834,
+		"module":                         -5.486543,
+		"mouse_cmdout":                   -8.430981,
+		"mouse_datain":                   -8.430981,
+		"mux":                            -8.430981,
+		"negedge":                        -6.351540,
+		"next_state":                     -6.639222,
+		"ns":                             -6.351540,
+		"ns/":                            -7.737834,
+		"ns_ps":                          -5.866032,
+		"num":                            -6.821544,
+		"o":                              -6.639222,
+		"opA":                            -7.044687,
+		"opB":                            -7.332369,
+		"or":                             -5.791924,
+		"original":                       -7.332369,
+		"out":                            -6.821544,
+		"output":                         -4.847463,
+		"pal_addr":                       -7.332369,
+		"pal_read":                       -7.332369,
+		"pal_we":                         -7.332369,
+		"pal_write":                      -7.332369,
+		"parameter":                      -6.485071,
+		"pipe_gen":                       -6.639222,
+		"pipe_in":                        -7.044687,
+		"pipe_out":                       -6.821544,
+		"pipeline":                       -7.737834,
+		"pipeline_registers":             -8.430981,
+		"pipeline_stage":                 -8.430981,
+		"posedge":                        -5.946075,
+		"ps":                             -4.904621,
+		"quotient":                       -7.737834,
+		"quotient_correct":               -8.430981,
+		"radicand":                       -6.128396,
+		"radicand_gen":                   -6.128396,
+		"ram":                            -5.866032,
+		"raster_op":                      -7.332369,
+		"read_data":                      -7.737834,
+		"read_map_select":                -7.332369,
+		"read_mode":                      -7.332369,
+		"received_data":                  -7.737834,
+		"received_data_en":               -7.044687,
+		"reg":                            -5.135145,
+		"reset":                          -6.485071,
+		"reset_n":                        -4.965246,
+		"root":                           -6.639222,
+		"root_gen":                       -5.722931,
+		"rst":                            -8.430981,
+		"s":                              -7.737834,
+		"s_ps":                           -6.351540,
+		"seg_":                           -7.044687,
+		"send_command":                   -7.737834,
+		"set_reset":                      -7.332369,
+		"shift_reg":                      -7.332369,
+		"sign_extend":                    -7.332369,
+		"sign_extended_original":         -7.737834,
+		"sign_extender":                  -8.430981,
+		"sqrt_pipelined":                 -7.332369,
+		"st_hor_retr":                    -7.332369,
+		"st_ver_retr":                    -7.332369,
+		"start":                          -6.033086,
+		"start_addr":                     -7.737834,
+		"start_gen":                      -6.485071,
+		"start_receiving_data":           -7.332369,
+		"state":                          -6.639222,
+		"stb":                            -7.044687,
+		"store_byte":                     -7.737834,
+		"store_half_word":                -7.737834,
+		"store_word":                     -7.737834,
+		"storeops":                       -7.737834,
+		"sum":                            -6.821544,
+		"t_button_debounce":              -8.430981,
+		"t_div_pipelined":                -8.430981,
+		"t_sqrt_pipelined":               -8.430981,
+		"task":                           -7.044687,
+		"the_command":                    -7.737834,
+		"timescale":                      -6.128396,
+		"v_retrace":                      -7.332369,
+		"vcursor":                        -7.332369,
+		"vert_sync":                      -7.737834,
+		"vert_total":                     -7.332369,
+		"vga":                            -8.430981,
+		"vga_blue_o":                     -7.737834,
+		"vga_cpu_mem_iface":              -8.430981,
+		"vga_green_o":                    -7.737834,
+		"vga_lcd":                        -8.430981,
+		"vga_mem_arbitrer":               -8.430981,
+		"vga_red_o":                      -7.737834,
+		"vh_retrace":                     -7.332369,
+		"w_vert_sync":                    -7.332369,
+		"wait_for_incoming_data":         -7.332369,
+		"wb_ack_o":                       -7.737834,
+		"wb_adr_i":                       -7.332369,
+		"wb_clk_i":                       -6.639222,
+		"wb_cyc_i":                       -7.737834,
+		"wb_dat_i":                       -7.332369,
+		"wb_dat_o":                       -7.737834,
+		"wb_rst_i":                       -6.639222,
+		"wb_sel_i":                       -7.332369,
+		"wb_stb_i":                       -7.737834,
+		"wb_tga_i":                       -6.821544,
+		"wb_we_i":                        -7.332369,
+		"wbm_ack_i":                      -7.332369,
+		"wbm_adr_o":                      -7.332369,
+		"wbm_dat_i":                      -7.332369,
+		"wbm_dat_o":                      -7.332369,
+		"wbm_sel_o":                      -7.332369,
+		"wbm_stb_o":                      -7.332369,
+		"wbm_we_o":                       -7.332369,
+		"wire":                           -4.154315,
+		"write_data":                     -6.351540,
+		"write_mode":                     -7.332369,
+		"x":                              -4.717409,
+		"x_dotclockdiv":                  -7.332369,
+		"y":                              -5.386459,
+		"z":                              -6.485071,
+		"{":                              -5.946075,
+		"|":                              -7.737834,
+		"||":                             -8.430981,
+		"}":                              -5.946075,
+		"~":                              -5.866032,
+	},
+	"Vim Help File": map[string]float64{
+		":":    -0.980829,
+		"=":    -1.673976,
+		"ft":   -2.772589,
+		"help": -2.772589,
+		"noet": -2.772589,
+		"norl": -2.772589,
+		"ts":   -2.772589,
+		"tw":   -2.772589,
+		"vim":  -2.772589,
 	},
 	"Vim Snippet": map[string]float64{
 		"!": -4.497337,
@@ -163536,948 +169593,958 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"}":                      -2.823361,
 	},
 	"Vim script": map[string]float64{
-		"!":                               -4.279132,
-		"\"":                              -8.778942,
-		"#":                               -5.344955,
-		"#af":                             -7.392648,
-		"#b":                              -8.778942,
-		"#cb":                             -8.085795,
-		"#d":                              -7.169504,
-		"#dc":                             -8.778942,
-		"#define":                         -8.778942,
-		"#eee":                            -8.778942,
-		"#else":                           -8.778942,
-		"#endif":                          -8.778942,
-		"#fdf":                            -8.085795,
-		"#ffffd":                          -8.085795,
-		"#if":                             -8.778942,
-		"$":                               -7.680330,
-		"&":                               -4.409494,
-		"&&":                              -7.680330,
-		"'":                               -8.778942,
-		"(":                               -4.735891,
-		")":                               -4.771609,
-		"*":                               -6.139885,
-		"*.todo":                          -8.085795,
-		"*Constant":                       -8.778942,
-		"*Identifier":                     -8.778942,
-		"*Ignore":                         -8.778942,
-		"*PreProc":                        -8.778942,
-		"*Todo":                           -8.778942,
-		"*a":                              -8.778942,
-		"*b":                              -8.778942,
-		"*g":                              -8.778942,
-		"*textobj":                        -6.699500,
-		"*todo.txt":                       -8.085795,
-		"+":                               -6.699500,
-		",":                               -4.025352,
-		"-":                               -1.639282,
-		".":                               -4.950300,
-		".*":                              -6.581717,
-		".a":                              -7.169504,
-		".each":                           -7.680330,
-		".l":                              -6.581717,
-		".s":                              -3.210597,
-		".vim/autoload":                   -8.778942,
-		".vim/colors":                     -8.778942,
-		".vimrc":                          -8.778942,
-		"/":                               -6.699500,
-		"//ethanschoonover.com/solarized": -8.085795,
-		"//github.com/nelstrom/vim":       -8.778942,
-		"//github.com/urso/dotrc/blob/master/vim/syntax/haskell.vim": -8.778942,
-		"//vimcasts.org/episodes/creating":                           -8.778942,
-		":":                                                          -2.474493,
-		";":                                                          -8.778942,
-		"<":                                                          -7.169504,
-		"<CR>":                                                       -5.313206,
-		"<ESC>":                                                      -7.680330,
-		"<Leader>":                                                   -6.699500,
-		"<Nop>":                                                      -6.833032,
-		"<Plug>":                                                     -6.476357,
-		"<TAB>":                                                      -8.085795,
-		"<buffer>":                                                   -6.987182,
-		"<class>":                                                    -8.778942,
-		"<cword>":                                                    -8.778942,
-		"<def>":                                                      -8.778942,
-		"<do>":                                                       -8.778942,
-		"<end>":                                                      -8.778942,
-		"<es@ethanschoonover.com>":                                   -8.778942,
-		"<if>":                                                       -8.778942,
-		"<module>":                                                   -8.778942,
-		"<sfile>":                                                    -8.778942,
-		"<silent>":                                                   -6.987182,
-		"=":                                                          -2.325317,
-		">":                                                          -7.169504,
-		"?":                                                          -7.169504,
-		"A":                                                          -8.085795,
-		"ACTION":                                                     -8.778942,
-		"AN":                                                         -8.778942,
-		"AND":                                                        -8.778942,
-		"ANY":                                                        -8.778942,
-		"ARISING":                                                    -8.778942,
-		"Apple_Terminal":                                             -8.778942,
-		"Author":                                                     -8.778942,
-		"Autogenerate":                                               -8.778942,
-		"BUGS":                                                       -8.778942,
-		"Background":                                                 -6.987182,
-		"Background.":                                                -7.169504,
-		"Bar":                                                        -8.778942,
-		"Basic":                                                      -8.778942,
-		"Baz":                                                        -8.085795,
-		"Boolean":                                                    -8.778942,
-		"BufNewFile":                                                 -8.085795,
-		"BufRead":                                                    -8.085795,
-		"Bugs":                                                       -8.778942,
-		"CHANGELOG":                                                  -8.778942,
-		"COLOR":                                                      -8.778942,
-		"CONTENTS":                                                   -8.778942,
-		"CONTRACT":                                                   -8.778942,
-		"CSApprox":                                                   -8.778942,
-		"CUSTOMIZING":                                                -8.778942,
-		"Changelog":                                                  -8.778942,
-		"Character":                                                  -8.778942,
-		"Christophe":                                                 -7.680330,
-		"ColorColumn":                                                -8.778942,
-		"ColorScheme":                                                -8.085795,
-		"Colorscheme":                                                -8.778942,
-		"Comment":                                                    -8.778942,
-		"Conceal":                                                    -8.778942,
-		"Conditional":                                                -8.778942,
-		"Constant":                                                   -8.778942,
-		"Contrast":                                                   -7.392648,
-		"Contrast.":                                                  -7.169504,
-		"Copyright":                                                  -7.680330,
-		"Created":                                                    -8.778942,
-		"Currently":                                                  -8.778942,
-		"Cursor":                                                     -8.778942,
-		"CursorColumn":                                               -8.778942,
-		"CursorLine":                                                 -8.778942,
-		"D":                                                          -8.085795,
-		"Dark":                                                       -8.778942,
-		"DarkCyan":                                                   -8.778942,
-		"DarkGray":                                                   -8.778942,
-		"DarkRed":                                                    -8.778942,
-		"DarkYellow":                                                 -8.778942,
-		"Debug":                                                      -8.778942,
-		"Define":                                                     -8.778942,
-		"Delimiter":                                                  -7.680330,
-		"Delord":                                                     -7.680330,
-		"Diff":                                                       -6.987182,
-		"DiffAdd":                                                    -8.085795,
-		"DiffChange":                                                 -8.085795,
-		"DiffDelete":                                                 -8.085795,
-		"DiffText":                                                   -8.085795,
-		"Different":                                                  -8.778942,
-		"Do":                                                         -7.392648,
-		"Download":                                                   -8.778942,
-		"Due":                                                        -8.778942,
-		"END":                                                        -8.085795,
-		"EVENT":                                                      -8.778942,
-		"EXPRESS":                                                    -8.778942,
-		"Ethan":                                                      -8.778942,
-		"Examples":                                                   -8.778942,
-		"Experimental":                                               -8.778942,
-		"Experimental.":                                              -8.085795,
-		"Extended":                                                   -8.778942,
-		"FITNESS":                                                    -8.778942,
-		"FOR":                                                        -8.778942,
-		"FROM":                                                       -8.778942,
-		"Fin.":                                                       -8.778942,
-		"First":                                                      -8.778942,
-		"Foo":                                                        -8.778942,
-		"For":                                                        -8.085795,
-		"Fuck":                                                       -7.680330,
-		"Function":                                                   -8.085795,
-		"GUI":                                                        -8.778942,
-		"Generate":                                                   -8.778942,
-		"Help":                                                       -6.987182,
-		"Help.":                                                      -6.987182,
-		"HiTrail":                                                    -8.778942,
-		"High":                                                       -7.680330,
-		"However":                                                    -8.778942,
-		"I":                                                          -8.778942,
-		"IN":                                                         -8.085795,
-		"INTERFACE":                                                  -8.778942,
-		"INTRODUCTION":                                               -8.778942,
-		"IS":                                                         -8.778942,
-		"Identifier":                                                 -7.169504,
-		"If":                                                         -7.680330,
-		"Ignore":                                                     -8.085795,
-		"In":                                                         -8.085795,
-		"Interface":                                                  -8.778942,
-		"Introduction":                                               -8.778942,
-		"It":                                                         -8.778942,
-		"KIND":                                                       -8.778942,
-		"Keyword":                                                    -7.680330,
-		"L":                                                          -8.778942,
-		"LIABILITY":                                                  -8.778942,
-		"Label":                                                      -8.778942,
-		"Latest":                                                     -8.778942,
-		"License":                                                    -7.392648,
-		"Light":                                                      -8.778942,
-		"LightBlue":                                                  -8.778942,
-		"LightGray":                                                  -8.778942,
-		"LightGreen":                                                 -8.778942,
-		"LightMagenta":                                               -8.778942,
-		"Low":                                                        -7.680330,
-		"Mappings":                                                   -8.778942,
-		"MatchParen":                                                 -8.778942,
-		"Matchit.vim":                                                -8.778942,
-		"Menu":                                                       -8.778942,
-		"Menus":                                                      -8.778942,
-		"Mode":                                                       -7.680330,
-		"Mode.":                                                      -7.680330,
-		"Move":                                                       -8.085795,
-		"NO":                                                         -8.778942,
-		"NONE":                                                       -6.987182,
-		"NONINFRINGEMENT.":                                           -8.778942,
-		"Normal":                                                     -7.169504,
-		"Note":                                                       -8.085795,
-		"OF":                                                         -8.085795,
-		"OR":                                                         -8.085795,
-		"OTHERWISE":                                                  -8.778942,
-		"Operator":                                                   -8.085795,
-		"PARTICULAR":                                                 -8.778942,
-		"PROVIDED":                                                   -8.778942,
-		"PURPOSE":                                                    -8.778942,
-		"Permission":                                                 -8.778942,
-		"Pmenu":                                                      -8.778942,
-		"PmenuSbar":                                                  -8.778942,
-		"PmenuSel":                                                   -8.778942,
-		"PmenuThumb":                                                 -8.778942,
-		"PreCondit":                                                  -8.778942,
-		"PreProc":                                                    -8.778942,
-		"Preprocessor":                                               -8.778942,
-		"Public":                                                     -7.680330,
-		"Reference":                                                  -8.778942,
-		"Removing":                                                   -8.778942,
-		"Ruby":                                                       -8.778942,
-		"S.*":                                                        -8.778942,
-		"SHALL":                                                      -8.778942,
-		"SOFTWARE":                                                   -8.778942,
-		"SOFTWARE.":                                                  -8.778942,
-		"Schoonover":                                                 -8.778942,
-		"See":                                                        -7.392648,
-		"Select":                                                     -8.085795,
-		"SetOption":                                                  -6.987182,
-		"SetupTodo":                                                  -8.085795,
-		"SignColumn":                                                 -8.778942,
-		"So":                                                         -8.778942,
-		"Software":                                                   -7.392648,
-		"Software.":                                                  -8.778942,
-		"Solarized":                                                  -6.699500,
-		"Solarized.":                                                 -5.520845,
-		"SolarizedHiTrail":                                           -7.169504,
-		"SolarizedMenu":                                              -8.085795,
-		"SolarizedOptions":                                           -7.392648,
-		"Some":                                                       -8.778942,
-		"Special":                                                    -8.778942,
-		"SpecialChar":                                                -8.778942,
-		"SpellBad":                                                   -8.778942,
-		"SpellCap":                                                   -8.778942,
-		"SpellLocal":                                                 -8.778942,
-		"SpellRare":                                                  -8.778942,
-		"StorageClass":                                               -8.778942,
-		"Suppose":                                                    -8.085795,
-		"Syntax":                                                     -8.778942,
-		"T":                                                          -8.778942,
-		"TERM_PROGRAM":                                               -8.778942,
-		"THE":                                                        -7.680330,
-		"TODO":                                                       -8.778942,
-		"TORT":                                                       -8.778942,
-		"TRUE":                                                       -8.778942,
-		"TabLine":                                                    -8.778942,
-		"TabLineFill":                                                -8.778942,
-		"TabLineSel":                                                 -8.778942,
-		"Text":                                                       -8.778942,
-		"TextobjRubyblockDefaultKeyMappings*":                        -8.778942,
-		"The":                                                        -6.987182,
-		"These":                                                      -8.085795,
-		"This":                                                       -7.392648,
-		"To":                                                         -7.680330,
-		"Todo":                                                       -8.778942,
-		"Toggle":                                                     -7.392648,
-		"ToggleBG":                                                   -8.085795,
-		"Type":                                                       -8.778942,
-		"Typedef":                                                    -8.778942,
-		"Typing":                                                     -8.778942,
-		"Useful":                                                     -8.085795,
-		"VALUES":                                                     -8.778942,
-		"Version":                                                    -7.392648,
-		"Vim":                                                        -7.169504,
-		"Visibility":                                                 -7.392648,
-		"Visibility.":                                                -7.169504,
-		"Visual":                                                     -8.085795,
-		"WARRANTY":                                                   -8.778942,
-		"WHETHER":                                                    -8.778942,
-		"WITHOUT":                                                    -8.778942,
-		"Want":                                                       -7.680330,
-		"We":                                                         -8.778942,
-		"What":                                                       -7.680330,
-		"Whereas":                                                    -8.778942,
-		"White":                                                      -8.778942,
-		"You":                                                        -7.392648,
-		"Your":                                                       -8.778942,
-		"[":                                                          -6.213993,
-		"\\":                                                         -4.372223,
-		"]":                                                          -6.476357,
-		"^":                                                          -5.888570,
-		"__END__":                                                    -8.778942,
-		"_all_":                                                      -7.680330,
-		"_inner_":                                                    -8.778942,
-		"_inside_":                                                   -8.778942,
-		"_visual":                                                    -8.778942,
-		"`":                                                          -4.651807,
-		"a":                                                          -5.195423,
-		"aa":                                                         -8.778942,
-		"above":                                                      -8.085795,
-		"achieve":                                                    -8.085795,
-		"actual":                                                     -8.778942,
-		"add":                                                        -8.778942,
-		"ae":                                                         -7.680330,
-		"af":                                                         -8.085795,
-		"afaf":                                                       -8.778942,
-		"all":                                                        -7.392648,
-		"also":                                                       -8.778942,
-		"always":                                                     -7.680330,
-		"amenu":                                                      -5.783210,
-		"an":                                                         -6.581717,
-		"and":                                                        -5.783210,
-		"any":                                                        -7.392648,
-		"anything":                                                   -8.778942,
-		"approach":                                                   -8.778942,
-		"ar":                                                         -6.833032,
-		"are":                                                        -6.987182,
-		"as":                                                         -8.778942,
-		"assignment":                                                 -8.778942,
-		"at":                                                         -8.085795,
-		"attention":                                                  -8.085795,
-		"au":                                                         -8.778942,
-		"augroup":                                                    -7.169504,
-		"aunmenu":                                                    -8.085795,
-		"autocmd":                                                    -7.169504,
-		"autocommand":                                                -8.778942,
-		"autogenerate":                                               -8.778942,
-		"autoload/togglebg.vim":                                      -8.778942,
-		"automatically.":                                             -8.778942,
-		"b":                                                          -6.987182,
-		"back":                                                       -8.085795,
-		"background":                                                 -7.680330,
-		"base":                                                       -5.783210,
-		"bb.":                                                        -8.085795,
-		"bb.s":                                                       -8.085795,
-		"bd":                                                         -8.085795,
-		"be":                                                         -7.680330,
-		"before":                                                     -8.778942,
-		"below":                                                      -8.778942,
-		"bg_back":                                                    -6.833032,
-		"bg_back.s":                                                  -8.778942,
-		"bg_base":                                                    -5.834503,
-		"bg_blue":                                                    -8.778942,
-		"bg_cyan":                                                    -8.778942,
-		"bg_green":                                                   -8.778942,
-		"bg_magenta":                                                 -8.778942,
-		"bg_none":                                                    -4.559434,
-		"bg_orange":                                                  -8.778942,
-		"bg_red":                                                     -8.778942,
-		"bg_violet":                                                  -8.778942,
-		"bg_yellow":                                                  -8.778942,
-		"black":                                                      -8.778942,
-		"blank":                                                      -8.778942,
-		"block":                                                      -6.833032,
-		"block.":                                                     -8.085795,
-		"block_openers":                                              -8.085795,
-		"blocks":                                                     -8.085795,
-		"blue":                                                       -7.392648,
-		"bold":                                                       -6.987182,
-		"boldswitch":                                                 -8.085795,
-		"boldswitch.":                                                -8.778942,
-		"boolean":                                                    -8.778942,
-		"both":                                                       -8.778942,
-		"brcyan":                                                     -8.778942,
-		"bright":                                                     -7.169504,
-		"brred":                                                      -8.778942,
-		"brwhite":                                                    -8.778942,
-		"bryellow":                                                   -8.778942,
-		"buffer":                                                     -8.778942,
-		"bugs":                                                       -8.085795,
-		"bugs*":                                                      -8.778942,
-		"but":                                                        -8.085795,
-		"by":                                                         -6.987182,
-		"c":                                                          -7.169504,
-		"call":                                                       -6.139885,
-		"can":                                                        -7.680330,
-		"canonical":                                                  -8.778942,
-		"case":                                                       -8.778942,
-		"cases":                                                      -8.778942,
-		"cdsoft.fr":                                                  -7.680330,
-		"changelog":                                                  -8.778942,
-		"changelog*":                                                 -8.778942,
-		"character":                                                  -7.392648,
-		"charge":                                                     -8.778942,
-		"check":                                                      -8.778942,
-		"class":                                                      -8.085795,
-		"clear":                                                      -8.778942,
-		"closed":                                                     -8.778942,
-		"closing":                                                    -8.085795,
-		"color":                                                      -8.778942,
-		"colors":                                                     -8.085795,
-		"colors_name":                                                -7.680330,
-		"colorscheme":                                                -6.213993,
-		"colorscheme_list":                                           -8.778942,
-		"colorschemes":                                               -7.680330,
-		"command":                                                    -8.085795,
-		"commands":                                                   -8.085795,
-		"comment_escape":                                             -7.680330,
-		"completed":                                                  -8.085795,
-		"comprise":                                                   -8.778942,
-		"constant":                                                   -7.392648,
-		"contains":                                                   -8.778942,
-		"contents*":                                                  -8.778942,
-		"contract":                                                   -8.778942,
-		"contrast":                                                   -8.778942,
-		"convention":                                                 -8.778942,
-		"copies":                                                     -8.085795,
-		"copy":                                                       -8.778942,
-		"could":                                                      -7.680330,
-		"count":                                                      -8.778942,
-		"count.":                                                     -8.085795,
-		"cterm":                                                      -7.169504,
-		"current":                                                    -7.680330,
-		"current_syntax":                                             -8.778942,
-		"cursor":                                                     -8.085795,
-		"cursorline":                                                 -8.778942,
-		"customizations":                                             -8.778942,
-		"customizing*":                                               -8.778942,
-		"cyan":                                                       -8.085795,
-		"d":                                                          -8.085795,
-		"dark":                                                       -8.085795,
-		"debugging":                                                  -8.778942,
-		"deemed":                                                     -8.778942,
-		"def":                                                        -7.392648,
-		"default":                                                    -7.169504,
-		"default.":                                                   -8.085795,
-		"default.l":                                                  -8.778942,
-		"defaults_list":                                              -8.778942,
-		"define":                                                     -8.778942,
-		"defined":                                                    -8.778942,
-		"definition":                                                 -8.778942,
-		"definition.":                                                -8.778942,
-		"delimited":                                                  -8.778942,
-		"delims":                                                     -8.778942,
-		"demonstrates":                                               -8.778942,
-		"details.":                                                   -8.778942,
-		"developing":                                                 -8.778942,
-		"didn":                                                       -8.778942,
-		"diff":                                                       -8.778942,
-		"diffLine":                                                   -8.778942,
-		"diffmode":                                                   -8.778942,
-		"directory.":                                                 -8.778942,
-		"distributed":                                                -8.778942,
-		"do":                                                         -7.169504,
-		"doc/textobj":                                                -8.778942,
-		"does":                                                       -8.778942,
-		"don":                                                        -8.085795,
-		"due":                                                        -8.778942,
-		"e":                                                          -6.833032,
-		"e.g.":                                                       -8.085795,
-		"each":                                                       -8.778942,
-		"effect":                                                     -8.778942,
-		"else":                                                       -5.945729,
-		"elseif":                                                     -7.680330,
-		"enable":                                                     -7.680330,
-		"enabled":                                                    -8.778942,
-		"end":                                                        -6.581717,
-		"end_pattern":                                                -8.085795,
-		"end_pos":                                                    -8.085795,
-		"endfunction":                                                -7.392648,
-		"endif":                                                      -5.783210,
-		"endtry":                                                     -8.778942,
-		"entirely":                                                   -8.778942,
-		"environment.":                                               -8.778942,
-		"etc.":                                                       -7.392648,
-		"even":                                                       -8.778942,
-		"everything":                                                 -8.778942,
-		"ewrap":                                                      -8.085795,
-		"ewrap.":                                                     -8.085795,
-		"ewrap.g":                                                    -8.085795,
-		"example":                                                    -8.085795,
-		"examples":                                                   -8.778942,
-		"excessively":                                                -8.778942,
-		"exe":                                                        -3.950628,
-		"executed.":                                                  -8.778942,
-		"existing":                                                   -8.778942,
-		"exists":                                                     -7.680330,
-		"expand":                                                     -8.085795,
-		"experimental":                                               -8.778942,
-		"extra":                                                      -8.778942,
-		"false":                                                      -8.778942,
-		"fdl":                                                        -8.778942,
-		"fdm":                                                        -8.778942,
-		"fen":                                                        -8.778942,
-		"few":                                                        -8.778942,
-		"fg_back":                                                    -8.778942,
-		"fg_base":                                                    -5.377745,
-		"fg_blue":                                                    -6.070892,
-		"fg_cyan":                                                    -6.581717,
-		"fg_cyan.s":                                                  -8.778942,
-		"fg_green":                                                   -6.987182,
-		"fg_magenta":                                                 -8.778942,
-		"fg_magenta.s":                                               -7.392648,
-		"fg_none":                                                    -8.085795,
-		"fg_orange":                                                  -7.392648,
-		"fg_orange.s":                                                -8.778942,
-		"fg_red":                                                     -6.476357,
-		"fg_violet":                                                  -7.680330,
-		"fg_yellow":                                                  -6.006353,
-		"file":                                                       -8.085795,
-		"files":                                                      -8.778942,
-		"filetype":                                                   -8.778942,
-		"filetypedetect":                                             -8.778942,
-		"finish":                                                     -8.778942,
-		"fires":                                                      -8.778942,
-		"first":                                                      -8.778942,
-		"flags":                                                      -8.085795,
-		"fmt_bldi":                                                   -8.778942,
-		"fmt_bold":                                                   -6.139885,
-		"fmt_curl":                                                   -8.778942,
-		"fmt_ital":                                                   -7.392648,
-		"fmt_none":                                                   -4.847116,
-		"fmt_revb":                                                   -8.778942,
-		"fmt_revbb":                                                  -6.987182,
-		"fmt_revr":                                                   -6.699500,
-		"fmt_stnd":                                                   -7.680330,
-		"fmt_undb":                                                   -7.680330,
-		"fmt_undi":                                                   -8.778942,
-		"fmt_undr":                                                   -6.833032,
-		"fmt_uopt":                                                   -8.778942,
-		"foldlevel":                                                  -8.778942,
-		"foldmethod":                                                 -8.778942,
-		"follow":                                                     -8.778942,
-		"following":                                                  -7.392648,
-		"for":                                                        -6.294035,
-		"force":                                                      -8.778942,
-		"form":                                                       -8.778942,
-		"free":                                                       -8.778942,
-		"from":                                                       -7.680330,
-		"ft":                                                         -8.778942,
-		"function":                                                   -7.169504,
-		"further":                                                    -8.778942,
-		"g":                                                          -5.483105,
-		"generic":                                                    -8.778942,
-		"get":                                                        -8.778942,
-		"getpos":                                                     -8.085795,
-		"git":                                                        -8.085795,
-		"gitDateHeader":                                              -8.778942,
-		"gitDiffRemoved":                                             -8.778942,
-		"gitEmail":                                                   -8.778942,
-		"gitHash":                                                    -8.778942,
-		"gitIdentity":                                                -8.778942,
-		"gitIdentityKeyword":                                         -8.778942,
-		"gitMode":                                                    -8.778942,
-		"gitReference":                                               -8.778942,
-		"gitReflogHeader":                                            -8.778942,
-		"gitType":                                                    -8.778942,
-		"gitcommit":                                                  -8.085795,
-		"gitcommitBranch":                                            -8.778942,
-		"gitcommitComment":                                           -8.778942,
-		"gitcommitDiscardedFile":                                     -8.778942,
-		"gitcommitDiscardedType":                                     -8.778942,
-		"gitcommitFile":                                              -8.778942,
-		"gitcommitHeader":                                            -8.085795,
-		"gitcommitOnBranch":                                          -8.778942,
-		"gitcommitOverflow":                                          -8.778942,
-		"gitcommitSelectedFile":                                      -8.778942,
-		"gitcommitSelectedType":                                      -8.778942,
-		"gitcommitSummary":                                           -8.778942,
-		"gitcommitType":                                              -8.778942,
-		"gitcommitUnmerged":                                          -8.778942,
-		"gitcommitUnmergedFile":                                      -8.778942,
-		"gitcommitUntrackedFile":                                     -8.778942,
-		"given.":                                                     -8.778942,
-		"gnome":                                                      -8.778942,
-		"goes":                                                       -8.778942,
-		"granted":                                                    -8.778942,
-		"green":                                                      -7.169504,
-		"group":                                                      -8.778942,
-		"groups":                                                     -8.778942,
-		"gui":                                                        -6.833032,
-		"gui_running":                                                -7.392648,
-		"guioptions":                                                 -8.778942,
-		"has":                                                        -7.169504,
-		"haskell":                                                    -8.778942,
-		"help":                                                       -6.294035,
-		"helpSpecial":                                                -8.778942,
-		"here.":                                                      -8.778942,
-		"hereby":                                                     -8.778942,
-		"hi":                                                         -4.461454,
-		"hidden":                                                     -8.778942,
-		"high":                                                       -8.778942,
-		"highlight":                                                  -7.680330,
-		"highlighting":                                               -6.581717,
-		"hitrail":                                                    -8.778942,
-		"hitrailswitch":                                              -8.085795,
-		"hitrailswitch.":                                             -8.778942,
-		"hl":                                                         -8.778942,
-		"hlsearch":                                                   -8.778942,
-		"homepage":                                                   -8.085795,
-		"hsDelimTypeExport":                                          -8.778942,
-		"hsImportParams":                                             -8.778942,
-		"hsModuleStartLabel":                                         -8.085795,
-		"hsModuleWhereLabel":                                         -8.778942,
-		"hsStructure":                                                -8.778942,
-		"hs_highlight_delimiters":                                    -8.778942,
-		"html":                                                       -8.778942,
-		"http":                                                       -7.169504,
-		"i":                                                          -6.294035,
-		"iTerm.app":                                                  -8.778942,
-		"ie":                                                         -7.680330,
-		"if":                                                         -5.520845,
-		"ignorecase":                                                 -7.680330,
-		"ignored.":                                                   -8.778942,
-		"in":                                                         -6.139885,
-		"included.":                                                  -8.778942,
-		"including":                                                  -7.680330,
-		"incomptible.":                                               -8.778942,
-		"incremental":                                                -8.778942,
-		"incsearch":                                                  -8.085795,
-		"information":                                                -8.778942,
-		"information.":                                               -8.778942,
-		"inner":                                                      -8.778942,
-		"instead":                                                    -8.778942,
-		"interface":                                                  -8.778942,
-		"interface*":                                                 -8.778942,
-		"into":                                                       -8.778942,
-		"introduction":                                               -8.778942,
-		"introduction*":                                              -8.778942,
-		"inwards":                                                    -8.778942,
-		"ir":                                                         -6.833032,
-		"is":                                                         -6.070892,
-		"it":                                                         -7.680330,
-		"italic":                                                     -8.778942,
-		"italicswitch":                                               -8.085795,
-		"italicswitch.":                                              -8.778942,
-		"items":                                                      -8.778942,
-		"itself.":                                                    -8.778942,
-		"jump":                                                       -8.778942,
-		"just":                                                       -8.085795,
-		"k":                                                          -8.778942,
-		"key":                                                        -8.085795,
-		"key.":                                                       -8.778942,
-		"keyword":                                                    -8.778942,
-		"keyword.":                                                   -8.085795,
-		"keywords":                                                   -8.778942,
-		"known":                                                      -8.778942,
-		"l":                                                          -6.294035,
-		"later":                                                      -8.085795,
-		"latest":                                                     -8.778942,
-		"lazycat_list":                                               -8.778942,
-		"left":                                                       -8.778942,
-		"let":                                                        -3.974921,
-		"lhs":                                                        -8.085795,
-		"light":                                                      -7.680330,
-		"like":                                                       -8.085795,
-		"limitation":                                                 -8.085795,
-		"line":                                                       -7.680330,
-		"line_":                                                      -8.778942,
-		"lines":                                                      -7.680330,
-		"lines.":                                                     -8.778942,
-		"link":                                                       -5.945729,
-		"links":                                                      -8.778942,
-		"list":                                                       -8.778942,
-		"loaded_solarized_menu":                                      -8.778942,
-		"loaded_todo":                                                -8.778942,
-		"looks":                                                      -8.778942,
-		"low":                                                        -8.085795,
-		"macros/matchit.vim":                                         -8.778942,
-		"magenta":                                                    -7.680330,
-		"magenta.":                                                   -8.778942,
-		"make":                                                       -8.778942,
-		"manually.":                                                  -8.778942,
-		"map":                                                        -8.778942,
-		"mappings":                                                   -7.680330,
-		"marker":                                                     -8.085795,
-		"match":                                                      -8.778942,
-		"matchit.vim":                                                -8.085795,
-		"may":                                                        -8.778942,
-		"menu":                                                       -7.392648,
-		"method":                                                     -7.680330,
-		"middle":                                                     -8.778942,
-		"might":                                                      -8.778942,
-		"mode":                                                       -6.699500,
-		"mode.":                                                      -8.778942,
-		"modes":                                                      -8.778942,
-		"modes.":                                                     -8.778942,
-		"module":                                                     -8.085795,
-		"mostly":                                                     -8.778942,
-		"move":                                                       -8.778942,
-		"name":                                                       -6.833032,
-		"name.":                                                      -7.680330,
-		"needs":                                                      -8.085795,
-		"new":                                                        -8.085795,
-		"night":                                                      -8.778942,
-		"nocompatible":                                               -7.680330,
-		"non":                                                        -8.778942,
-		"none":                                                       -8.778942,
-		"norl":                                                       -8.778942,
-		"normal":                                                     -7.392648,
-		"not":                                                        -7.169504,
-		"number":                                                     -8.778942,
-		"o":                                                          -8.778942,
-		"object":                                                     -7.680330,
-		"object.":                                                    -8.778942,
-		"objects":                                                    -6.987182,
-		"obtaining":                                                  -8.778942,
-		"of":                                                         -5.834503,
-		"omap":                                                       -8.085795,
-		"on":                                                         -6.833032,
-		"one":                                                        -8.085795,
-		"only":                                                       -7.392648,
-		"opened":                                                     -8.778942,
-		"opening":                                                    -8.085795,
-		"option.":                                                    -8.778942,
-		"options":                                                    -7.680330,
-		"options_list":                                               -8.085795,
-		"or":                                                         -6.987182,
-		"orange":                                                     -7.680330,
-		"other":                                                      -7.680330,
-		"out":                                                        -8.778942,
-		"outwards":                                                   -8.778942,
-		"override":                                                   -8.778942,
-		"palettes":                                                   -8.778942,
-		"pending":                                                    -7.680330,
-		"perl":                                                       -8.778942,
-		"permit":                                                     -8.778942,
-		"person":                                                     -8.778942,
-		"persons":                                                    -8.778942,
-		"placing":                                                    -8.778942,
-		"plugin":                                                     -7.680330,
-		"poor":                                                       -8.778942,
-		"portion":                                                    -8.778942,
-		"portions":                                                   -8.778942,
-		"position":                                                   -8.085795,
-		"positioned":                                                 -8.778942,
-		"possibility":                                                -8.778942,
-		"potential":                                                  -8.778942,
-		"prefix":                                                     -8.085795,
-		"preprocessor":                                               -8.085795,
-		"press":                                                      -8.778942,
-		"provides":                                                   -8.778942,
-		"question":                                                   -8.778942,
-		"r.s":                                                        -7.392648,
-		"red":                                                        -8.085795,
-		"refactor":                                                   -8.778942,
-		"reference":                                                  -8.778942,
-		"referenced":                                                 -8.778942,
-		"register":                                                   -8.778942,
-		"release":                                                    -8.778942,
-		"release.":                                                   -8.778942,
-		"repeating":                                                  -7.680330,
-		"require":                                                    -8.778942,
-		"respectively.":                                              -8.778942,
-		"respond":                                                    -8.085795,
-		"restriction":                                                -8.778942,
-		"results.":                                                   -8.778942,
-		"revbb":                                                      -8.778942,
-		"reverse":                                                    -8.085795,
-		"rhs":                                                        -8.778942,
-		"rights":                                                     -8.778942,
-		"ruby":                                                       -6.833032,
-		"rubyClass":                                                  -8.778942,
-		"rubyClassVariable":                                          -8.778942,
-		"rubyConstant":                                               -8.778942,
-		"rubyGlobalVariable":                                         -8.778942,
-		"rubyIdentifier":                                             -8.778942,
-		"rubyKeyword":                                                -8.778942,
-		"rubyPredefinedVariable":                                     -8.778942,
-		"rubyRepeatModifier":                                         -8.778942,
-		"rubySharpBang":                                              -8.778942,
-		"rubyblock":                                                  -5.483105,
-		"rubyblock*":                                                 -8.778942,
-		"rubyblock.":                                                 -8.778942,
-		"rubyblock.txt":                                              -8.778942,
-		"rubyblock.txt*":                                             -8.778942,
-		"running":                                                    -8.778942,
-		"runs":                                                       -8.778942,
-		"runtime":                                                    -8.778942,
-		"rxvt":                                                       -8.778942,
-		"s":                                                          -3.830182,
-		"s*":                                                         -6.476357,
-		"same":                                                       -8.778942,
-		"save":                                                       -8.778942,
-		"screenshots":                                                -8.085795,
-		"searching":                                                  -8.778942,
-		"searchpair":                                                 -8.778942,
-		"section":                                                    -8.778942,
-		"see":                                                        -7.680330,
-		"select":                                                     -7.392648,
-		"select_a":                                                   -8.778942,
-		"selecting":                                                  -8.778942,
-		"selection":                                                  -7.392648,
-		"selects":                                                    -8.085795,
-		"sep":                                                        -6.833032,
-		"set":                                                        -5.600888,
-		"setf":                                                       -8.778942,
-		"setfiletype":                                                -8.778942,
-		"sets":                                                       -8.778942,
-		"several":                                                    -8.778942,
-		"showcmd":                                                    -7.680330,
-		"showmatch":                                                  -7.680330,
-		"silent":                                                     -8.085795,
-		"similar":                                                    -8.778942,
-		"sizeof":                                                     -8.778942,
-		"skip_pattern":                                               -8.085795,
-		"smartcase":                                                  -7.680330,
-		"snippet.":                                                   -8.778942,
-		"so":                                                         -8.085795,
-		"solarized":                                                  -6.006353,
-		"solarized.txt":                                              -8.778942,
-		"solarized.vim":                                              -8.778942,
-		"solarizedTrailingSpace":                                     -8.085795,
-		"solarized_":                                                 -7.680330,
-		"solarized_bold":                                             -8.778942,
-		"solarized_contrast":                                         -7.680330,
-		"solarized_degrade":                                          -8.778942,
-		"solarized_diffmode":                                         -7.169504,
-		"solarized_hitrail":                                          -7.680330,
-		"solarized_italic":                                           -8.778942,
-		"solarized_menu":                                             -8.778942,
-		"solarized_termtrans_default":                                -7.680330,
-		"solarized_underline":                                        -8.778942,
-		"solarized_visibility":                                       -7.392648,
-		"sp_back":                                                    -8.778942,
-		"sp_base":                                                    -6.581717,
-		"sp_blue":                                                    -8.085795,
-		"sp_cyan":                                                    -8.778942,
-		"sp_green":                                                   -8.085795,
-		"sp_magenta":                                                 -8.778942,
-		"sp_none":                                                    -8.778942,
-		"sp_orange":                                                  -8.778942,
-		"sp_red":                                                     -8.085795,
-		"sp_violet":                                                  -8.778942,
-		"sp_yellow":                                                  -8.085795,
-		"special":                                                    -8.778942,
-		"standout":                                                   -8.778942,
-		"start_pattern":                                              -8.085795,
-		"starts":                                                     -8.778942,
-		"statements":                                                 -8.778942,
-		"static":                                                     -8.778942,
-		"string":                                                     -8.778942,
-		"subdirectory":                                               -8.085795,
-		"suboptimal.":                                                -8.778942,
-		"substantial":                                                -8.778942,
-		"suppose":                                                    -8.778942,
-		"switch":                                                     -8.778942,
-		"syn":                                                        -8.778942,
-		"syntax":                                                     -7.392648,
-		"syntax_on":                                                  -8.778942,
-		"t":                                                          -7.680330,
-		"t_Co":                                                       -8.085795,
-		"term":                                                       -8.778942,
-		"termcolors":                                                 -8.778942,
-		"terminal":                                                   -7.680330,
-		"terminal_italic":                                            -8.778942,
-		"terminals":                                                  -8.778942,
-		"terms":                                                      -7.680330,
-		"testing":                                                    -8.778942,
-		"tex":                                                        -8.778942,
-		"text":                                                       -6.581717,
-		"textobj":                                                    -5.834503,
-		"textobj#user#plugin":                                        -8.778942,
-		"textobj_rubyblock_no_default_key_mappings":  -8.778942,
-		"textobj_rubyblock_no_default_key_mappings*": -8.778942,
-		"that":             -6.381047,
-		"the":              -4.847116,
-		"them":             -8.778942,
-		"then":             -7.680330,
-		"these":            -8.778942,
-		"this":             -6.699500,
-		"though":           -8.085795,
-		"time":             -8.778942,
-		"to":               -5.734419,
-		"todo":             -7.392648,
-		"togglebg":         -7.680330,
-		"too":              -8.778942,
-		"top":              -8.085795,
-		"transferring":     -8.778942,
-		"trigger":          -8.778942,
-		"triggered":        -8.085795,
-		"try":              -8.778942,
-		"ts":               -8.778942,
-		"tw":               -8.778942,
-		"two":              -8.085795,
-		"type":             -8.085795,
-		"typed":            -8.778942,
-		"typedef":          -8.778942,
-		"u.":               -8.085795,
-		"undercurl":        -8.778942,
-		"underline":        -8.085795,
-		"underlineswitch":  -8.085795,
-		"underlineswitch.": -8.778942,
-		"unformated":       -8.778942,
-		"unless":           -8.778942,
-		"up":               -8.085795,
-		"urgent":           -8.778942,
-		"url":              -8.778942,
-		"usage":            -8.778942,
-		"use":              -8.778942,
-		"used":             -8.778942,
-		"user":             -7.680330,
-		"using":            -8.085795,
-		"v":                -8.778942,
-		"values":           -7.680330,
-		"var":              -8.085795,
-		"variable":         -8.778942,
-		"version":          -8.778942,
-		"via":              -8.778942,
-		"vim":              -7.392648,
-		"vim/":             -8.778942,
-		"vimFunc":          -8.778942,
-		"vimLineComment":   -8.778942,
-		"vimSet":           -8.778942,
-		"vimSetEqual":      -8.778942,
-		"vimUserFunc":      -8.778942,
-		"vimVar":           -8.778942,
-		"vimrc":            -8.085795,
-		"vimscript":        -8.778942,
-		"vimscript#":       -8.778942,
-		"violet":           -8.085795,
-		"vir":              -8.778942,
-		"visibility":       -8.778942,
-		"visual":           -8.085795,
-		"vmode.":           -8.085795,
-		"volatile":         -8.778942,
-		"want":             -8.778942,
-		"wanted":           -8.778942,
-		"was":              -8.778942,
-		"way":              -8.778942,
-		"we":               -8.778942,
-		"were":             -8.778942,
-		"when":             -8.778942,
-		"where":            -8.778942,
-		"which":            -8.085795,
-		"while":            -8.778942,
-		"whom":             -8.778942,
-		"will":             -7.169504,
-		"with":             -7.169504,
-		"without":          -8.085795,
-		"word":             -8.778942,
-		"would":            -7.680330,
-		"wrap":             -8.085795,
-		"wrap.":            -8.778942,
-		"wrap.a":           -8.778942,
-		"xmap":             -8.085795,
-		"yellow":           -8.085795,
-		"you":              -6.139885,
-		"your":             -6.699500,
-		"{":                -4.753590,
-		"|":                -4.604555,
-		"||":               -8.085795,
-		"}":                -4.907741,
-		"~":                -8.778942,
-		"©":                -7.680330,
-		"²":                -8.778942,
-		"✓":                -7.392648,
+		"!":                               -4.282973,
+		"\"":                              -8.782783,
+		"#":                               -5.348796,
+		"#af":                             -7.396489,
+		"#b":                              -8.782783,
+		"#cb":                             -8.089636,
+		"#d":                              -7.173345,
+		"#dc":                             -8.782783,
+		"#define":                         -8.782783,
+		"#eee":                            -8.782783,
+		"#else":                           -8.782783,
+		"#endif":                          -8.782783,
+		"#fdf":                            -8.089636,
+		"#ffffd":                          -8.089636,
+		"#if":                             -8.782783,
+		"$":                               -7.684171,
+		"&":                               -4.413335,
+		"&&":                              -7.684171,
+		"'":                               -8.782783,
+		"(":                               -4.739732,
+		")":                               -4.775450,
+		"*":                               -6.143726,
+		"*.todo":                          -8.089636,
+		"*Constant":                       -8.782783,
+		"*Identifier":                     -8.782783,
+		"*Ignore":                         -8.782783,
+		"*PreProc":                        -8.782783,
+		"*Todo":                           -8.782783,
+		"*a":                              -8.782783,
+		"*b":                              -8.782783,
+		"*g":                              -8.782783,
+		"*textobj":                        -6.703341,
+		"*todo.txt":                       -8.089636,
+		"+":                               -6.703341,
+		",":                               -4.029193,
+		"-":                               -1.643123,
+		".":                               -4.954142,
+		".*":                              -6.585558,
+		".a":                              -7.173345,
+		".each":                           -7.684171,
+		".l":                              -6.585558,
+		".s":                              -3.214439,
+		".vim/autoload":                   -8.782783,
+		".vim/colors":                     -8.782783,
+		".vimrc":                          -8.782783,
+		"/":                               -6.703341,
+		"//ethanschoonover.com/solarized": -8.089636,
+		"//github.com/nelstrom/vim":       -8.782783,
+		"//github.com/urso/dotrc/blob/master/vim/syntax/haskell.vim": -8.782783,
+		"//vimcasts.org/episodes/creating":                           -8.782783,
+		":":                                                          -2.478334,
+		";":                                                          -8.782783,
+		"<":                                                          -7.173345,
+		"<CR>":                                                       -5.317047,
+		"<ESC>":                                                      -7.684171,
+		"<Leader>":                                                   -6.703341,
+		"<Nop>":                                                      -6.836873,
+		"<Plug>":                                                     -6.480198,
+		"<TAB>":                                                      -8.089636,
+		"<buffer>":                                                   -6.991024,
+		"<class>":                                                    -8.782783,
+		"<cword>":                                                    -8.782783,
+		"<def>":                                                      -8.782783,
+		"<do>":                                                       -8.782783,
+		"<end>":                                                      -8.782783,
+		"<es@ethanschoonover.com>":                                   -8.782783,
+		"<if>":                                                       -8.782783,
+		"<module>":                                                   -8.782783,
+		"<sfile>":                                                    -8.782783,
+		"<silent>":                                                   -6.991024,
+		"=":                                                          -2.324445,
+		">":                                                          -7.173345,
+		"?":                                                          -7.173345,
+		"A":                                                          -8.089636,
+		"ACTION":                                                     -8.782783,
+		"AN":                                                         -8.782783,
+		"AND":                                                        -8.782783,
+		"ANY":                                                        -8.782783,
+		"ARISING":                                                    -8.782783,
+		"Apple_Terminal":                                             -8.782783,
+		"Author":                                                     -8.782783,
+		"Autogenerate":                                               -8.782783,
+		"BUGS":                                                       -8.782783,
+		"Background":                                                 -6.991024,
+		"Background.":                                                -7.173345,
+		"Bar":                                                        -8.782783,
+		"Basic":                                                      -8.782783,
+		"Baz":                                                        -8.089636,
+		"Boolean":                                                    -8.782783,
+		"BufNewFile":                                                 -8.089636,
+		"BufRead":                                                    -8.089636,
+		"Bugs":                                                       -8.782783,
+		"CHANGELOG":                                                  -8.782783,
+		"COLOR":                                                      -8.782783,
+		"CONTENTS":                                                   -8.782783,
+		"CONTRACT":                                                   -8.782783,
+		"CSApprox":                                                   -8.782783,
+		"CUSTOMIZING":                                                -8.782783,
+		"Changelog":                                                  -8.782783,
+		"Character":                                                  -8.782783,
+		"Christophe":                                                 -7.684171,
+		"ColorColumn":                                                -8.782783,
+		"ColorScheme":                                                -8.089636,
+		"Colorscheme":                                                -8.782783,
+		"Comment":                                                    -8.782783,
+		"Conceal":                                                    -8.782783,
+		"Conditional":                                                -8.782783,
+		"Constant":                                                   -8.782783,
+		"Contrast":                                                   -7.396489,
+		"Contrast.":                                                  -7.173345,
+		"Copyright":                                                  -7.684171,
+		"Created":                                                    -8.782783,
+		"Currently":                                                  -8.782783,
+		"Cursor":                                                     -8.782783,
+		"CursorColumn":                                               -8.782783,
+		"CursorLine":                                                 -8.782783,
+		"D":                                                          -8.089636,
+		"Dark":                                                       -8.782783,
+		"DarkCyan":                                                   -8.782783,
+		"DarkGray":                                                   -8.782783,
+		"DarkRed":                                                    -8.782783,
+		"DarkYellow":                                                 -8.782783,
+		"Debug":                                                      -8.782783,
+		"Define":                                                     -8.782783,
+		"Delimiter":                                                  -7.684171,
+		"Delord":                                                     -7.684171,
+		"Diff":                                                       -6.991024,
+		"DiffAdd":                                                    -8.089636,
+		"DiffChange":                                                 -8.089636,
+		"DiffDelete":                                                 -8.089636,
+		"DiffText":                                                   -8.089636,
+		"Different":                                                  -8.782783,
+		"Do":                                                         -7.396489,
+		"Download":                                                   -8.782783,
+		"Due":                                                        -8.782783,
+		"END":                                                        -8.089636,
+		"EVENT":                                                      -8.782783,
+		"EXPRESS":                                                    -8.782783,
+		"Ethan":                                                      -8.782783,
+		"Examples":                                                   -8.782783,
+		"Experimental":                                               -8.782783,
+		"Experimental.":                                              -8.089636,
+		"Extended":                                                   -8.782783,
+		"FITNESS":                                                    -8.782783,
+		"FOR":                                                        -8.782783,
+		"FROM":                                                       -8.782783,
+		"Fin.":                                                       -8.782783,
+		"First":                                                      -8.782783,
+		"Foo":                                                        -8.782783,
+		"For":                                                        -8.089636,
+		"Fuck":                                                       -7.684171,
+		"Function":                                                   -8.089636,
+		"GUI":                                                        -8.782783,
+		"Generate":                                                   -8.782783,
+		"Help":                                                       -6.991024,
+		"Help.":                                                      -6.991024,
+		"HiTrail":                                                    -8.782783,
+		"High":                                                       -7.684171,
+		"However":                                                    -8.782783,
+		"I":                                                          -8.782783,
+		"IN":                                                         -8.089636,
+		"INTERFACE":                                                  -8.782783,
+		"INTRODUCTION":                                               -8.782783,
+		"IS":                                                         -8.782783,
+		"Identifier":                                                 -7.173345,
+		"If":                                                         -7.684171,
+		"Ignore":                                                     -8.089636,
+		"In":                                                         -8.089636,
+		"Interface":                                                  -8.782783,
+		"Introduction":                                               -8.782783,
+		"It":                                                         -8.782783,
+		"KIND":                                                       -8.782783,
+		"Keyword":                                                    -7.684171,
+		"L":                                                          -8.782783,
+		"LIABILITY":                                                  -8.782783,
+		"Label":                                                      -8.782783,
+		"Latest":                                                     -8.782783,
+		"License":                                                    -7.396489,
+		"Light":                                                      -8.782783,
+		"LightBlue":                                                  -8.782783,
+		"LightGray":                                                  -8.782783,
+		"LightGreen":                                                 -8.782783,
+		"LightMagenta":                                               -8.782783,
+		"Low":                                                        -7.684171,
+		"Mappings":                                                   -8.782783,
+		"MatchParen":                                                 -8.782783,
+		"Matchit.vim":                                                -8.782783,
+		"Menu":                                                       -8.782783,
+		"Menus":                                                      -8.782783,
+		"Mode":                                                       -7.684171,
+		"Mode.":                                                      -7.684171,
+		"Move":                                                       -8.089636,
+		"NO":                                                         -8.782783,
+		"NONE":                                                       -6.991024,
+		"NONINFRINGEMENT.":                                           -8.782783,
+		"Normal":                                                     -7.173345,
+		"Note":                                                       -8.089636,
+		"OF":                                                         -8.089636,
+		"OR":                                                         -8.089636,
+		"OTHERWISE":                                                  -8.782783,
+		"Operator":                                                   -8.089636,
+		"PARTICULAR":                                                 -8.782783,
+		"PROVIDED":                                                   -8.782783,
+		"PURPOSE":                                                    -8.782783,
+		"Permission":                                                 -8.782783,
+		"Pmenu":                                                      -8.782783,
+		"PmenuSbar":                                                  -8.782783,
+		"PmenuSel":                                                   -8.782783,
+		"PmenuThumb":                                                 -8.782783,
+		"PreCondit":                                                  -8.782783,
+		"PreProc":                                                    -8.782783,
+		"Preprocessor":                                               -8.782783,
+		"Public":                                                     -7.684171,
+		"Reference":                                                  -8.782783,
+		"Removing":                                                   -8.782783,
+		"Ruby":                                                       -8.782783,
+		"S.*":                                                        -8.782783,
+		"SHALL":                                                      -8.782783,
+		"SOFTWARE":                                                   -8.782783,
+		"SOFTWARE.":                                                  -8.782783,
+		"Schoonover":                                                 -8.782783,
+		"See":                                                        -7.396489,
+		"Select":                                                     -8.089636,
+		"SetOption":                                                  -6.991024,
+		"SetupTodo":                                                  -8.089636,
+		"SignColumn":                                                 -8.782783,
+		"So":                                                         -8.782783,
+		"Software":                                                   -7.396489,
+		"Software.":                                                  -8.782783,
+		"Solarized":                                                  -6.703341,
+		"Solarized.":                                                 -5.524686,
+		"SolarizedHiTrail":                                           -7.173345,
+		"SolarizedMenu":                                              -8.089636,
+		"SolarizedOptions":                                           -7.396489,
+		"Some":                                                       -8.782783,
+		"Special":                                                    -8.782783,
+		"SpecialChar":                                                -8.782783,
+		"SpellBad":                                                   -8.782783,
+		"SpellCap":                                                   -8.782783,
+		"SpellLocal":                                                 -8.782783,
+		"SpellRare":                                                  -8.782783,
+		"StorageClass":                                               -8.782783,
+		"Suppose":                                                    -8.089636,
+		"Syntax":                                                     -8.782783,
+		"T":                                                          -8.782783,
+		"TERM_PROGRAM":                                               -8.782783,
+		"THE":                                                        -7.684171,
+		"TODO":                                                       -8.782783,
+		"TORT":                                                       -8.782783,
+		"TRUE":                                                       -8.782783,
+		"TabLine":                                                    -8.782783,
+		"TabLineFill":                                                -8.782783,
+		"TabLineSel":                                                 -8.782783,
+		"Text":                                                       -8.782783,
+		"TextobjRubyblockDefaultKeyMappings*":                        -8.782783,
+		"The":                                                        -6.991024,
+		"These":                                                      -8.089636,
+		"This":                                                       -7.396489,
+		"To":                                                         -7.684171,
+		"Todo":                                                       -8.782783,
+		"Toggle":                                                     -7.396489,
+		"ToggleBG":                                                   -8.089636,
+		"Type":                                                       -8.782783,
+		"Typedef":                                                    -8.782783,
+		"Typing":                                                     -8.782783,
+		"Useful":                                                     -8.089636,
+		"VALUES":                                                     -8.782783,
+		"Version":                                                    -7.396489,
+		"Vim":                                                        -7.173345,
+		"Visibility":                                                 -7.396489,
+		"Visibility.":                                                -7.173345,
+		"Visual":                                                     -8.089636,
+		"WARRANTY":                                                   -8.782783,
+		"WHETHER":                                                    -8.782783,
+		"WITHOUT":                                                    -8.782783,
+		"Want":                                                       -7.684171,
+		"We":                                                         -8.782783,
+		"What":                                                       -7.684171,
+		"Whereas":                                                    -8.782783,
+		"White":                                                      -8.782783,
+		"You":                                                        -7.396489,
+		"Your":                                                       -8.782783,
+		"[":                                                          -6.217834,
+		"\\":                                                         -4.376064,
+		"]":                                                          -6.480198,
+		"^":                                                          -5.892411,
+		"__END__":                                                    -8.782783,
+		"_all_":                                                      -7.684171,
+		"_inner_":                                                    -8.782783,
+		"_inside_":                                                   -8.782783,
+		"_visual":                                                    -8.782783,
+		"`":                                                          -4.655649,
+		"a":                                                          -5.199264,
+		"aa":                                                         -8.782783,
+		"above":                                                      -8.089636,
+		"achieve":                                                    -8.089636,
+		"actual":                                                     -8.782783,
+		"add":                                                        -8.782783,
+		"ae":                                                         -7.684171,
+		"af":                                                         -8.089636,
+		"afaf":                                                       -8.782783,
+		"all":                                                        -7.396489,
+		"also":                                                       -8.782783,
+		"always":                                                     -7.684171,
+		"amenu":                                                      -5.787051,
+		"an":                                                         -6.585558,
+		"and":                                                        -5.787051,
+		"any":                                                        -7.396489,
+		"anything":                                                   -8.782783,
+		"approach":                                                   -8.782783,
+		"ar":                                                         -6.836873,
+		"are":                                                        -6.991024,
+		"as":                                                         -8.782783,
+		"assignment":                                                 -8.782783,
+		"at":                                                         -8.089636,
+		"attention":                                                  -8.089636,
+		"au":                                                         -8.782783,
+		"augroup":                                                    -7.173345,
+		"aunmenu":                                                    -8.089636,
+		"autocmd":                                                    -7.173345,
+		"autocommand":                                                -8.782783,
+		"autogenerate":                                               -8.782783,
+		"autoload/togglebg.vim":                                      -8.782783,
+		"automatically.":                                             -8.782783,
+		"b":                                                          -6.991024,
+		"back":                                                       -8.089636,
+		"background":                                                 -7.684171,
+		"base":                                                       -5.787051,
+		"bb.":                                                        -8.089636,
+		"bb.s":                                                       -8.089636,
+		"bd":                                                         -8.089636,
+		"be":                                                         -7.684171,
+		"before":                                                     -8.782783,
+		"below":                                                      -8.782783,
+		"bg_back":                                                    -6.836873,
+		"bg_back.s":                                                  -8.782783,
+		"bg_base":                                                    -5.838344,
+		"bg_blue":                                                    -8.782783,
+		"bg_cyan":                                                    -8.782783,
+		"bg_green":                                                   -8.782783,
+		"bg_magenta":                                                 -8.782783,
+		"bg_none":                                                    -4.563275,
+		"bg_orange":                                                  -8.782783,
+		"bg_red":                                                     -8.782783,
+		"bg_violet":                                                  -8.782783,
+		"bg_yellow":                                                  -8.782783,
+		"black":                                                      -8.782783,
+		"blank":                                                      -8.782783,
+		"block":                                                      -6.836873,
+		"block.":                                                     -8.089636,
+		"block_openers":                                              -8.089636,
+		"blocks":                                                     -8.089636,
+		"blue":                                                       -7.396489,
+		"bold":                                                       -6.991024,
+		"boldswitch":                                                 -8.089636,
+		"boldswitch.":                                                -8.782783,
+		"boolean":                                                    -8.782783,
+		"both":                                                       -8.782783,
+		"brcyan":                                                     -8.782783,
+		"bright":                                                     -7.173345,
+		"brred":                                                      -8.782783,
+		"brwhite":                                                    -8.782783,
+		"bryellow":                                                   -8.782783,
+		"buffer":                                                     -8.782783,
+		"bugs":                                                       -8.089636,
+		"bugs*":                                                      -8.782783,
+		"but":                                                        -8.089636,
+		"by":                                                         -6.991024,
+		"c":                                                          -7.173345,
+		"call":                                                       -6.143726,
+		"can":                                                        -7.684171,
+		"canonical":                                                  -8.782783,
+		"case":                                                       -8.782783,
+		"cases":                                                      -8.782783,
+		"cdsoft.fr":                                                  -7.684171,
+		"changelog":                                                  -8.782783,
+		"changelog*":                                                 -8.782783,
+		"character":                                                  -7.396489,
+		"charge":                                                     -8.782783,
+		"check":                                                      -8.782783,
+		"class":                                                      -8.089636,
+		"clear":                                                      -8.782783,
+		"closed":                                                     -8.782783,
+		"closing":                                                    -8.089636,
+		"color":                                                      -8.782783,
+		"colors":                                                     -8.089636,
+		"colors_name":                                                -7.684171,
+		"colorscheme":                                                -6.217834,
+		"colorscheme_list":                                           -8.782783,
+		"colorschemes":                                               -7.684171,
+		"command":                                                    -8.089636,
+		"commands":                                                   -8.089636,
+		"comment_escape":                                             -7.684171,
+		"completed":                                                  -8.089636,
+		"comprise":                                                   -8.782783,
+		"constant":                                                   -7.396489,
+		"contains":                                                   -8.782783,
+		"contents*":                                                  -8.782783,
+		"contract":                                                   -8.782783,
+		"contrast":                                                   -8.782783,
+		"convention":                                                 -8.782783,
+		"copies":                                                     -8.089636,
+		"copy":                                                       -8.782783,
+		"could":                                                      -7.684171,
+		"count":                                                      -8.782783,
+		"count.":                                                     -8.089636,
+		"cterm":                                                      -7.173345,
+		"current":                                                    -7.684171,
+		"current_syntax":                                             -8.782783,
+		"cursor":                                                     -8.089636,
+		"cursorline":                                                 -8.782783,
+		"customizations":                                             -8.782783,
+		"customizing*":                                               -8.782783,
+		"cyan":                                                       -8.089636,
+		"d":                                                          -8.089636,
+		"dark":                                                       -8.089636,
+		"debugging":                                                  -8.782783,
+		"deemed":                                                     -8.782783,
+		"def":                                                        -7.396489,
+		"default":                                                    -7.173345,
+		"default.":                                                   -8.089636,
+		"default.l":                                                  -8.782783,
+		"defaults_list":                                              -8.782783,
+		"define":                                                     -8.782783,
+		"defined":                                                    -8.782783,
+		"definition":                                                 -8.782783,
+		"definition.":                                                -8.782783,
+		"delimited":                                                  -8.782783,
+		"delims":                                                     -8.782783,
+		"demonstrates":                                               -8.782783,
+		"details.":                                                   -8.782783,
+		"developing":                                                 -8.782783,
+		"didn":                                                       -8.782783,
+		"diff":                                                       -8.782783,
+		"diffLine":                                                   -8.782783,
+		"diffmode":                                                   -8.782783,
+		"directory.":                                                 -8.782783,
+		"distributed":                                                -8.782783,
+		"do":                                                         -7.173345,
+		"doc/textobj":                                                -8.782783,
+		"does":                                                       -8.782783,
+		"don":                                                        -8.089636,
+		"due":                                                        -8.782783,
+		"e":                                                          -6.836873,
+		"e.g.":                                                       -8.089636,
+		"each":                                                       -8.782783,
+		"effect":                                                     -8.782783,
+		"else":                                                       -5.949570,
+		"elseif":                                                     -7.684171,
+		"enable":                                                     -7.684171,
+		"enabled":                                                    -8.782783,
+		"end":                                                        -6.585558,
+		"end_pattern":                                                -8.089636,
+		"end_pos":                                                    -8.089636,
+		"endfunction":                                                -7.396489,
+		"endif":                                                      -5.787051,
+		"endtry":                                                     -8.782783,
+		"entirely":                                                   -8.782783,
+		"environment.":                                               -8.782783,
+		"etc.":                                                       -7.396489,
+		"even":                                                       -8.782783,
+		"everything":                                                 -8.782783,
+		"ewrap":                                                      -8.089636,
+		"ewrap.":                                                     -8.089636,
+		"ewrap.g":                                                    -8.089636,
+		"example":                                                    -8.089636,
+		"examples":                                                   -8.782783,
+		"excessively":                                                -8.782783,
+		"exe":                                                        -3.954469,
+		"executed.":                                                  -8.782783,
+		"existing":                                                   -8.782783,
+		"exists":                                                     -7.684171,
+		"expand":                                                     -8.089636,
+		"experimental":                                               -8.782783,
+		"extended":                                                   -8.782783,
+		"extra":                                                      -8.782783,
+		"false":                                                      -8.782783,
+		"fdl":                                                        -8.782783,
+		"fdm":                                                        -8.782783,
+		"fen":                                                        -8.782783,
+		"few":                                                        -8.782783,
+		"fg_back":                                                    -8.782783,
+		"fg_base":                                                    -5.381586,
+		"fg_blue":                                                    -6.074733,
+		"fg_cyan":                                                    -6.585558,
+		"fg_cyan.s":                                                  -8.782783,
+		"fg_green":                                                   -6.991024,
+		"fg_magenta":                                                 -8.782783,
+		"fg_magenta.s":                                               -7.396489,
+		"fg_none":                                                    -8.089636,
+		"fg_orange":                                                  -7.396489,
+		"fg_orange.s":                                                -8.782783,
+		"fg_red":                                                     -6.480198,
+		"fg_violet":                                                  -7.684171,
+		"fg_yellow":                                                  -6.010194,
+		"file":                                                       -8.089636,
+		"files":                                                      -8.782783,
+		"filetype":                                                   -8.782783,
+		"filetypedetect":                                             -8.782783,
+		"finish":                                                     -8.782783,
+		"fires":                                                      -8.782783,
+		"first":                                                      -8.782783,
+		"flags":                                                      -8.089636,
+		"fmt_bldi":                                                   -8.782783,
+		"fmt_bold":                                                   -6.143726,
+		"fmt_curl":                                                   -8.782783,
+		"fmt_ital":                                                   -7.396489,
+		"fmt_none":                                                   -4.850957,
+		"fmt_revb":                                                   -8.782783,
+		"fmt_revbb":                                                  -6.991024,
+		"fmt_revr":                                                   -6.703341,
+		"fmt_stnd":                                                   -7.684171,
+		"fmt_undb":                                                   -7.684171,
+		"fmt_undi":                                                   -8.782783,
+		"fmt_undr":                                                   -6.836873,
+		"fmt_uopt":                                                   -8.782783,
+		"foldlevel":                                                  -8.782783,
+		"foldmethod":                                                 -8.782783,
+		"follow":                                                     -8.782783,
+		"following":                                                  -7.396489,
+		"for":                                                        -6.297876,
+		"force":                                                      -8.782783,
+		"form":                                                       -8.782783,
+		"free":                                                       -8.782783,
+		"from":                                                       -7.684171,
+		"ft":                                                         -8.782783,
+		"function":                                                   -7.173345,
+		"further":                                                    -8.782783,
+		"g":                                                          -5.486946,
+		"generic":                                                    -8.782783,
+		"get":                                                        -8.782783,
+		"getpos":                                                     -8.089636,
+		"git":                                                        -8.089636,
+		"gitDateHeader":                                              -8.782783,
+		"gitDiffRemoved":                                             -8.782783,
+		"gitEmail":                                                   -8.782783,
+		"gitHash":                                                    -8.782783,
+		"gitIdentity":                                                -8.782783,
+		"gitIdentityKeyword":                                         -8.782783,
+		"gitMode":                                                    -8.782783,
+		"gitReference":                                               -8.782783,
+		"gitReflogHeader":                                            -8.782783,
+		"gitType":                                                    -8.782783,
+		"gitcommit":                                                  -8.089636,
+		"gitcommitBranch":                                            -8.782783,
+		"gitcommitComment":                                           -8.782783,
+		"gitcommitDiscardedFile":                                     -8.782783,
+		"gitcommitDiscardedType":                                     -8.782783,
+		"gitcommitFile":                                              -8.782783,
+		"gitcommitHeader":                                            -8.089636,
+		"gitcommitOnBranch":                                          -8.782783,
+		"gitcommitOverflow":                                          -8.782783,
+		"gitcommitSelectedFile":                                      -8.782783,
+		"gitcommitSelectedType":                                      -8.782783,
+		"gitcommitSummary":                                           -8.782783,
+		"gitcommitType":                                              -8.782783,
+		"gitcommitUnmerged":                                          -8.782783,
+		"gitcommitUnmergedFile":                                      -8.782783,
+		"gitcommitUntrackedFile":                                     -8.782783,
+		"given.":                                                     -8.782783,
+		"gnome":                                                      -8.782783,
+		"goes":                                                       -8.782783,
+		"granted":                                                    -8.782783,
+		"green":                                                      -7.173345,
+		"group":                                                      -8.782783,
+		"groups":                                                     -8.782783,
+		"gui":                                                        -6.836873,
+		"gui_running":                                                -7.396489,
+		"guioptions":                                                 -8.782783,
+		"has":                                                        -7.173345,
+		"haskell":                                                    -8.782783,
+		"help":                                                       -6.297876,
+		"helpSpecial":                                                -8.782783,
+		"here.":                                                      -8.782783,
+		"hereby":                                                     -8.782783,
+		"hi":                                                         -4.465295,
+		"hidden":                                                     -8.782783,
+		"high":                                                       -8.782783,
+		"highlight":                                                  -7.684171,
+		"highlighting":                                               -6.585558,
+		"hitrail":                                                    -8.782783,
+		"hitrailswitch":                                              -8.089636,
+		"hitrailswitch.":                                             -8.782783,
+		"hl":                                                         -8.782783,
+		"hlsearch":                                                   -8.782783,
+		"homepage":                                                   -8.089636,
+		"hsDelimTypeExport":                                          -8.782783,
+		"hsImportParams":                                             -8.782783,
+		"hsModuleStartLabel":                                         -8.089636,
+		"hsModuleWhereLabel":                                         -8.782783,
+		"hsStructure":                                                -8.782783,
+		"hs_highlight_delimiters":                                    -8.782783,
+		"html":                                                       -8.782783,
+		"http":                                                       -7.173345,
+		"i":                                                          -6.297876,
+		"iTerm.app":                                                  -8.782783,
+		"iclower":                                                    -8.782783,
+		"ie":                                                         -7.684171,
+		"if":                                                         -5.524686,
+		"ignorecase":                                                 -7.684171,
+		"ignored.":                                                   -8.782783,
+		"in":                                                         -6.143726,
+		"included.":                                                  -8.782783,
+		"including":                                                  -7.684171,
+		"incomptible.":                                               -8.782783,
+		"incremental":                                                -8.782783,
+		"incsearch":                                                  -8.089636,
+		"information":                                                -8.782783,
+		"information.":                                               -8.782783,
+		"inner":                                                      -8.782783,
+		"instead":                                                    -8.782783,
+		"interface":                                                  -8.782783,
+		"interface*":                                                 -8.782783,
+		"into":                                                       -8.782783,
+		"introduction":                                               -8.782783,
+		"introduction*":                                              -8.782783,
+		"inwards":                                                    -8.782783,
+		"ir":                                                         -6.836873,
+		"is":                                                         -6.074733,
+		"it":                                                         -7.684171,
+		"italic":                                                     -8.782783,
+		"italicswitch":                                               -8.089636,
+		"italicswitch.":                                              -8.782783,
+		"items":                                                      -8.782783,
+		"itself.":                                                    -8.782783,
+		"jump":                                                       -8.782783,
+		"just":                                                       -8.089636,
+		"k":                                                          -8.782783,
+		"key":                                                        -8.089636,
+		"key.":                                                       -8.782783,
+		"keyword":                                                    -8.782783,
+		"keyword.":                                                   -8.089636,
+		"keywords":                                                   -8.782783,
+		"known":                                                      -8.782783,
+		"l":                                                          -6.297876,
+		"later":                                                      -8.089636,
+		"latest":                                                     -8.782783,
+		"lazycat_list":                                               -8.782783,
+		"left":                                                       -8.782783,
+		"leftright":                                                  -8.782783,
+		"let":                                                        -3.978762,
+		"lhs":                                                        -8.089636,
+		"light":                                                      -7.684171,
+		"like":                                                       -8.089636,
+		"limitation":                                                 -8.089636,
+		"line":                                                       -7.684171,
+		"line_":                                                      -8.782783,
+		"lines":                                                      -7.684171,
+		"lines.":                                                     -8.782783,
+		"link":                                                       -5.949570,
+		"links":                                                      -8.782783,
+		"list":                                                       -8.782783,
+		"loaded_solarized_menu":                                      -8.782783,
+		"loaded_todo":                                                -8.782783,
+		"looks":                                                      -8.782783,
+		"low":                                                        -8.089636,
+		"macros/matchit.vim":                                         -8.782783,
+		"magenta":                                                    -7.684171,
+		"magenta.":                                                   -8.782783,
+		"make":                                                       -8.782783,
+		"manually.":                                                  -8.782783,
+		"map":                                                        -8.782783,
+		"mappings":                                                   -7.684171,
+		"marker":                                                     -8.089636,
+		"match":                                                      -8.782783,
+		"matchit.vim":                                                -8.089636,
+		"may":                                                        -8.782783,
+		"menu":                                                       -7.396489,
+		"method":                                                     -7.684171,
+		"middle":                                                     -8.782783,
+		"might":                                                      -8.782783,
+		"mode":                                                       -6.703341,
+		"mode.":                                                      -8.782783,
+		"modes":                                                      -8.782783,
+		"modes.":                                                     -8.782783,
+		"module":                                                     -8.089636,
+		"mostly":                                                     -8.782783,
+		"move":                                                       -8.782783,
+		"name":                                                       -6.836873,
+		"name.":                                                      -7.684171,
+		"needs":                                                      -8.089636,
+		"new":                                                        -8.089636,
+		"night":                                                      -8.782783,
+		"nocompatible":                                               -7.684171,
+		"non":                                                        -8.782783,
+		"none":                                                       -8.782783,
+		"norl":                                                       -8.782783,
+		"normal":                                                     -7.396489,
+		"not":                                                        -7.173345,
+		"number":                                                     -8.782783,
+		"o":                                                          -8.782783,
+		"object":                                                     -7.684171,
+		"object.":                                                    -8.782783,
+		"objects":                                                    -6.991024,
+		"obtaining":                                                  -8.782783,
+		"of":                                                         -5.838344,
+		"omap":                                                       -8.089636,
+		"on":                                                         -6.836873,
+		"one":                                                        -8.089636,
+		"only":                                                       -7.396489,
+		"opened":                                                     -8.782783,
+		"opening":                                                    -8.089636,
+		"option.":                                                    -8.782783,
+		"options":                                                    -7.684171,
+		"options_list":                                               -8.089636,
+		"or":                                                         -6.991024,
+		"orange":                                                     -7.684171,
+		"other":                                                      -7.684171,
+		"out":                                                        -8.782783,
+		"outwards":                                                   -8.782783,
+		"override":                                                   -8.782783,
+		"palettes":                                                   -8.782783,
+		"pending":                                                    -7.684171,
+		"perl":                                                       -8.782783,
+		"permit":                                                     -8.782783,
+		"person":                                                     -8.782783,
+		"persons":                                                    -8.782783,
+		"placing":                                                    -8.782783,
+		"plugin":                                                     -7.684171,
+		"poor":                                                       -8.782783,
+		"portion":                                                    -8.782783,
+		"portions":                                                   -8.782783,
+		"position":                                                   -8.089636,
+		"positioned":                                                 -8.782783,
+		"possibility":                                                -8.782783,
+		"potential":                                                  -8.782783,
+		"prefix":                                                     -8.089636,
+		"preprocessor":                                               -8.089636,
+		"press":                                                      -8.782783,
+		"provides":                                                   -8.782783,
+		"question":                                                   -8.782783,
+		"r.s":                                                        -7.396489,
+		"red":                                                        -8.089636,
+		"refactor":                                                   -8.782783,
+		"reference":                                                  -8.782783,
+		"referenced":                                                 -8.782783,
+		"register":                                                   -8.782783,
+		"release":                                                    -8.782783,
+		"release.":                                                   -8.782783,
+		"repeating":                                                  -7.684171,
+		"report":                                                     -8.782783,
+		"require":                                                    -8.782783,
+		"respectively.":                                              -8.782783,
+		"respond":                                                    -8.089636,
+		"restriction":                                                -8.782783,
+		"results.":                                                   -8.782783,
+		"revbb":                                                      -8.782783,
+		"reverse":                                                    -8.089636,
+		"rhs":                                                        -8.782783,
+		"rights":                                                     -8.782783,
+		"ruby":                                                       -6.836873,
+		"rubyClass":                                                  -8.782783,
+		"rubyClassVariable":                                          -8.782783,
+		"rubyConstant":                                               -8.782783,
+		"rubyGlobalVariable":                                         -8.782783,
+		"rubyIdentifier":                                             -8.782783,
+		"rubyKeyword":                                                -8.782783,
+		"rubyPredefinedVariable":                                     -8.782783,
+		"rubyRepeatModifier":                                         -8.782783,
+		"rubySharpBang":                                              -8.782783,
+		"rubyblock":                                                  -5.486946,
+		"rubyblock*":                                                 -8.782783,
+		"rubyblock.":                                                 -8.782783,
+		"rubyblock.txt":                                              -8.782783,
+		"rubyblock.txt*":                                             -8.782783,
+		"ruler":                                                      -8.782783,
+		"running":                                                    -8.782783,
+		"runs":                                                       -8.782783,
+		"runtime":                                                    -8.782783,
+		"rxvt":                                                       -8.782783,
+		"s":                                                          -3.834023,
+		"s*":                                                         -6.480198,
+		"same":                                                       -8.782783,
+		"save":                                                       -8.782783,
+		"screenshots":                                                -8.089636,
+		"searchincr":                                                 -8.782783,
+		"searching":                                                  -8.782783,
+		"searchpair":                                                 -8.782783,
+		"section":                                                    -8.782783,
+		"see":                                                        -7.684171,
+		"select":                                                     -7.396489,
+		"select_a":                                                   -8.782783,
+		"selecting":                                                  -8.782783,
+		"selection":                                                  -7.396489,
+		"selects":                                                    -8.089636,
+		"sep":                                                        -6.836873,
+		"set":                                                        -5.227435,
+		"setf":                                                       -8.782783,
+		"setfiletype":                                                -8.782783,
+		"sets":                                                       -8.782783,
+		"several":                                                    -8.782783,
+		"shiftwidth":                                                 -8.782783,
+		"showcmd":                                                    -7.684171,
+		"showmatch":                                                  -7.396489,
+		"showmode":                                                   -8.782783,
+		"silent":                                                     -8.089636,
+		"similar":                                                    -8.782783,
+		"sizeof":                                                     -8.782783,
+		"skip_pattern":                                               -8.089636,
+		"smartcase":                                                  -7.684171,
+		"snippet.":                                                   -8.782783,
+		"so":                                                         -8.089636,
+		"solarized":                                                  -6.010194,
+		"solarized.txt":                                              -8.782783,
+		"solarized.vim":                                              -8.782783,
+		"solarizedTrailingSpace":                                     -8.089636,
+		"solarized_":                                                 -7.684171,
+		"solarized_bold":                                             -8.782783,
+		"solarized_contrast":                                         -7.684171,
+		"solarized_degrade":                                          -8.782783,
+		"solarized_diffmode":                                         -7.173345,
+		"solarized_hitrail":                                          -7.684171,
+		"solarized_italic":                                           -8.782783,
+		"solarized_menu":                                             -8.782783,
+		"solarized_termtrans_default":                                -7.684171,
+		"solarized_underline":                                        -8.782783,
+		"solarized_visibility":                                       -7.396489,
+		"sp_back":                                                    -8.782783,
+		"sp_base":                                                    -6.585558,
+		"sp_blue":                                                    -8.089636,
+		"sp_cyan":                                                    -8.782783,
+		"sp_green":                                                   -8.089636,
+		"sp_magenta":                                                 -8.782783,
+		"sp_none":                                                    -8.782783,
+		"sp_orange":                                                  -8.782783,
+		"sp_red":                                                     -8.089636,
+		"sp_violet":                                                  -8.782783,
+		"sp_yellow":                                                  -8.089636,
+		"special":                                                    -8.782783,
+		"standout":                                                   -8.782783,
+		"start_pattern":                                              -8.089636,
+		"starts":                                                     -8.782783,
+		"statements":                                                 -8.782783,
+		"static":                                                     -8.782783,
+		"string":                                                     -8.782783,
+		"subdirectory":                                               -8.089636,
+		"suboptimal.":                                                -8.782783,
+		"substantial":                                                -8.782783,
+		"suppose":                                                    -8.782783,
+		"switch":                                                     -8.782783,
+		"syn":                                                        -8.782783,
+		"syntax":                                                     -7.396489,
+		"syntax_on":                                                  -8.782783,
+		"t":                                                          -7.684171,
+		"t_Co":                                                       -8.089636,
+		"tabstop":                                                    -8.782783,
+		"term":                                                       -8.782783,
+		"termcolors":                                                 -8.782783,
+		"terminal":                                                   -7.684171,
+		"terminal_italic":                                            -8.782783,
+		"terminals":                                                  -8.782783,
+		"terms":                                                      -7.684171,
+		"testing":                                                    -8.782783,
+		"tex":                                                        -8.782783,
+		"text":                                                       -6.585558,
+		"textobj":                                                    -5.838344,
+		"textobj#user#plugin":                                        -8.782783,
+		"textobj_rubyblock_no_default_key_mappings":  -8.782783,
+		"textobj_rubyblock_no_default_key_mappings*": -8.782783,
+		"that":             -6.384888,
+		"the":              -4.850957,
+		"them":             -8.782783,
+		"then":             -7.684171,
+		"these":            -8.782783,
+		"this":             -6.703341,
+		"though":           -8.089636,
+		"time":             -8.782783,
+		"to":               -5.738261,
+		"todo":             -7.396489,
+		"togglebg":         -7.684171,
+		"too":              -8.782783,
+		"top":              -8.089636,
+		"transferring":     -8.782783,
+		"trigger":          -8.782783,
+		"triggered":        -8.089636,
+		"try":              -8.782783,
+		"ts":               -8.782783,
+		"tw":               -8.782783,
+		"two":              -8.089636,
+		"type":             -8.089636,
+		"typed":            -8.782783,
+		"typedef":          -8.782783,
+		"u.":               -8.089636,
+		"undercurl":        -8.782783,
+		"underline":        -8.089636,
+		"underlineswitch":  -8.089636,
+		"underlineswitch.": -8.782783,
+		"unformated":       -8.782783,
+		"unless":           -8.782783,
+		"up":               -8.089636,
+		"urgent":           -8.782783,
+		"url":              -8.782783,
+		"usage":            -8.782783,
+		"use":              -8.782783,
+		"used":             -8.782783,
+		"user":             -7.684171,
+		"using":            -8.089636,
+		"v":                -8.782783,
+		"values":           -7.684171,
+		"var":              -8.089636,
+		"variable":         -8.782783,
+		"verbose":          -8.782783,
+		"version":          -8.782783,
+		"via":              -8.782783,
+		"vim":              -7.396489,
+		"vim/":             -8.782783,
+		"vimFunc":          -8.782783,
+		"vimLineComment":   -8.782783,
+		"vimSet":           -8.782783,
+		"vimSetEqual":      -8.782783,
+		"vimUserFunc":      -8.782783,
+		"vimVar":           -8.782783,
+		"vimrc":            -8.089636,
+		"vimscript":        -8.782783,
+		"vimscript#":       -8.782783,
+		"violet":           -8.089636,
+		"vir":              -8.782783,
+		"visibility":       -8.782783,
+		"visual":           -8.089636,
+		"vmode.":           -8.089636,
+		"volatile":         -8.782783,
+		"want":             -8.782783,
+		"wanted":           -8.782783,
+		"was":              -8.782783,
+		"way":              -8.782783,
+		"we":               -8.782783,
+		"were":             -8.782783,
+		"when":             -8.782783,
+		"where":            -8.782783,
+		"which":            -8.089636,
+		"while":            -8.782783,
+		"whom":             -8.782783,
+		"will":             -7.173345,
+		"with":             -7.173345,
+		"without":          -8.089636,
+		"word":             -8.782783,
+		"would":            -7.684171,
+		"wrap":             -8.089636,
+		"wrap.":            -8.782783,
+		"wrap.a":           -8.782783,
+		"xmap":             -8.089636,
+		"yellow":           -8.089636,
+		"you":              -6.143726,
+		"your":             -6.703341,
+		"{":                -4.757431,
+		"|":                -4.608396,
+		"||":               -8.089636,
+		"}":                -4.911582,
+		"~":                -8.782783,
+		"©":                -7.684171,
+		"²":                -8.782783,
+		"✓":                -7.396489,
 	},
 	"Visual Basic .NET": map[string]float64{
 		"!":                                      -7.030857,
@@ -167246,6174 +173313,6232 @@ var TokensLogProbabilities = map[string]map[string]float64{
 		"⨚":              -8.642592,
 	},
 	"XML": map[string]float64{
-		"!":                                      -10.339870,
-		"#c":                                     -10.339870,
-		"#x":                                     -7.941974,
-		"$":                                      -6.756351,
-		"%":                                      -9.241257,
-		"&":                                      -6.728952,
-		"&&":                                     -9.646723,
-		"'":                                      -9.646723,
-		"(":                                      -5.119514,
-		")":                                      -5.152484,
-		"*":                                      -8.730432,
-		"*after*":                                -9.646723,
-		"*always*":                               -10.339870,
-		"*before*":                               -9.646723,
-		"*must*":                                 -10.339870,
-		"*ptr_":                                  -10.339870,
-		"+":                                      -4.632759,
-		",":                                      -4.603297,
-		"-":                                      -4.656290,
-		".":                                      -7.081773,
-		"..":                                     -8.548110,
-		"...":                                    -9.241257,
-		".AllowNoMatch":                          -9.241257,
-		".NET":                                   -8.260428,
-		".NETPlatform":                           -10.339870,
-		".SourceFile.FilePath":                   -10.339870,
-		".ToHashSet":                             -10.339870,
-		".ToLower":                               -10.339870,
-		".x":                                     -10.339870,
-		".xml":                                   -10.339870,
-		"/":                                      -6.905883,
-		"//Start":                                -10.339870,
-		"//github.com/github/hubot/LICENSEmd":    -10.339870,
-		"//hubot.github.com":                     -10.339870,
-		"//www.freemedforms.com/":                -10.339870,
-		"//www.zotero.org/styles/modern":         -10.339870,
-		"/AALjR":                                 -10.339870,
-		"/AaEwRe":                                -10.339870,
-		"/BViIrschKZGVtJbKyFsmKS":                -10.339870,
-		"/C":                                     -10.339870,
-		"/CQBTmT":                                -10.339870,
-		"/CQBTmb":                                -10.339870,
-		"/DwVX":                                  -10.339870,
-		"/Eul":                                   -10.339870,
-		"/Gn":                                    -10.339870,
-		"/NJH":                                   -10.339870,
-		"/NUVOyZSDbl":                            -10.339870,
-		"/OLgnzj":                                -10.339870,
-		"/P":                                     -10.339870,
-		"/PEstbNVJVKbAhvPOVTx":                   -10.339870,
-		"/Prefer":                                -9.646723,
-		"/TwnkalWdpzgYTPUrsX":                    -10.339870,
-		"/VxEnzMR":                               -10.339870,
-		"/W":                                     -10.339870,
-		"/WsAOrFo":                               -10.339870,
-		"/YBQkRflz":                              -10.339870,
-		"/ZJBpCGcs":                              -10.339870,
-		"/_PlatformToolsetFriendlyNameFor_v":     -10.339870,
-		"/_PlatformToolsetShortNameFor_v":        -10.339870,
-		"/aNwbxb":                                -10.339870,
-		"/ah":                                    -10.339870,
-		"/akgN":                                  -10.339870,
-		"/axcZ/":                                 -10.339870,
-		"/bQBzF":                                 -10.339870,
-		"/cmKYzGmiZHz/Vlx":                       -10.339870,
-		"/ewjxLtQfcl":                            -10.339870,
-		"/ggxQw":                                 -10.339870,
-		"/hHJDvCAZ":                              -10.339870,
-		"/iUBDHV":                                -10.339870,
-		"/icBDF":                                 -10.339870,
-		"/kQKPFV":                                -10.339870,
-		"/kUsr":                                  -10.339870,
-		"/l":                                     -10.339870,
-		"/p/W":                                   -10.339870,
-		"/qUBTHJ":                                -10.339870,
-		"/ri":                                    -10.339870,
-		"/tUSO":                                  -10.339870,
-		"/zHZ":                                   -10.339870,
-		"/zZjX":                                  -10.339870,
-		"/zwRFOQoSzEP":                           -10.339870,
-		"0":                                      -5.535849,
-		"000000":                                 -9.646723,
-		"02":                                     -10.339870,
-		"1":                                      -5.957843,
-		"10":                                     -6.972574,
-		"100":                                    -10.339870,
-		"10px":                                   -9.241257,
-		"11":                                     -6.728952,
-		"113883":                                 -6.813509,
-		"11px":                                   -10.339870,
-		"12":                                     -9.646723,
-		"12b3c8bb":                               -9.646723,
-		"13":                                     -7.506656,
-		"135":                                    -8.142645,
-		"13px":                                   -10.339870,
-		"14":                                     -8.730432,
-		"14px":                                   -10.339870,
-		"15":                                     -8.037285,
-		"15px":                                   -8.142645,
-		"16":                                     -6.756351,
-		"16px":                                   -10.339870,
-		"17":                                     -9.646723,
-		"17px":                                   -10.339870,
-		"18":                                     -10.339870,
-		"1999":                                   -9.241257,
-		"19px":                                   -9.646723,
-		"1a5f3280b8ba":                           -9.646723,
-		"2":                                      -6.578670,
-		"20":                                     -7.449498,
-		"2001":                                   -8.548110,
-		"2004":                                   -10.339870,
-		"2010":                                   -10.339870,
-		"2011":                                   -10.339870,
-		"2014":                                   -10.339870,
-		"20px":                                   -6.972574,
-		"21px":                                   -10.339870,
-		"22":                                     -9.646723,
-		"25":                                     -6.468669,
-		"250":                                    -8.142645,
-		"26":                                     -8.548110,
-		"27px":                                   -10.339870,
-		"2f323b":                                 -9.241257,
-		"2px":                                    -9.646723,
-		"3":                                      -6.626298,
-		"30":                                     -9.241257,
-		"30px":                                   -7.007665,
-		"32":                                     -6.813509,
-		"36":                                     -10.339870,
-		"36px":                                   -9.646723,
-		"3px":                                    -7.941974,
-		"4":                                      -7.344137,
-		"40":                                     -10.339870,
-		"400":                                    -10.339870,
-		"40px":                                   -8.548110,
-		"42px":                                   -9.646723,
-		"48px":                                   -9.646723,
-		"4f83":                                   -9.646723,
-		"5":                                      -9.646723,
-		"57":                                     -8.142645,
-		"5px":                                    -10.339870,
-		"6":                                      -9.646723,
-		"7":                                      -9.646723,
-		"8":                                      -9.241257,
-		"840":                                    -6.813509,
-		"88":                                     -6.813509,
-		"9":                                      -9.646723,
-		"9085":                                   -9.646723,
-		"9B9B9B":                                 -9.241257,
-		":":                                      -5.487839,
-		";":                                      -5.240003,
-		"<":                                      -8.260428,
-		"<!-->":                                  -8.260428,
-		"<!ATTLIST>":                             -9.646723,
-		"<!DOCTYPE>":                             -8.953575,
-		"<!ELEMENT>":                             -8.953575,
-		"<!ENTITY>":                              -6.938672,
-		"<![%body.attlist;[>":                    -10.339870,
-		"<![%body.element;[>":                    -10.339870,
-		"<![%head.element;[>":                    -10.339870,
-		"<![%html.element;[>":                    -10.339870,
-		"<![%title.attlist;[>":                   -10.339870,
-		"<![%title.element;[>":                   -10.339870,
-		"<![CDATA[//>":                           -9.646723,
-		"</App>":                                 -9.646723,
-		"</AppDesignerFolder>":                   -9.241257,
-		"</AppliesTo>":                           -10.339870,
-		"</AppxManifest>":                        -10.339870,
-		"</ArtifactsDir>":                        -10.339870,
-		"</Assembly>":                            -8.037285,
-		"</AssemblyName>":                        -8.730432,
-		"</AssemblyVersion>":                     -10.339870,
-		"</Assets>":                              -10.339870,
-		"</AutoGen>":                             -9.241257,
-		"</AutoGenerateBindingRedirects>":        -9.241257,
-		"</AutoVisualizer>":                      -10.339870,
-		"</BorderPane>":                          -10.339870,
-		"</Button>":                              -9.646723,
-		"</CLRSupport>":                          -9.646723,
-		"</CharacterSet>":                        -9.646723,
-		"</Choose>":                              -10.339870,
-		"</ClCompile>":                           -8.548110,
-		"</ClInclude>":                           -9.646723,
-		"</Compile>":                             -9.241257,
-		"</Config>":                              -6.905883,
-		"</ConfigFiles>":                         -10.339870,
-		"</ConfigOptions>":                       -6.938672,
-		"</Configs>":                             -10.339870,
-		"</Configuration>":                       -7.567281,
-		"</ConfigurationSettings>":               -9.241257,
-		"</ConfigurationType>":                   -9.646723,
-		"</CopyToMask>":                          -6.938672,
-		"</CurrentBuildDateStamp>":               -10.339870,
-		"</CurrentBuildDir>":                     -10.339870,
-		"</CurrentBuildTimeStamp>":               -10.339870,
-		"</CustomParameters>":                    -10.339870,
-		"</CustomToolNamespace>":                 -9.646723,
-		"</DebugSymbols>":                        -8.548110,
-		"</DebugType>":                           -8.393960,
-		"</DefaultLanguage>":                     -10.339870,
-		"</DefaultName>":                         -10.339870,
-		"</DefineConstants>":                     -8.260428,
-		"</DefineDebug>":                         -9.646723,
-		"</DefineTrace>":                         -9.646723,
-		"</Dependencies>":                        -10.339870,
-		"</DependentUpon>":                       -9.241257,
-		"</Description>":                         -9.646723,
-		"</DesignTime>":                          -10.339870,
-		"</DesignTimeSharedInput>":               -10.339870,
-		"</Dir>":                                 -9.646723,
-		"</DirectoryNamespaceAssociation>":       -10.339870,
-		"</Dirs>":                                -10.339870,
-		"</DisableFastUpToDateCheck>":            -10.339870,
-		"</DisplayName>":                         -10.339870,
-		"</DisplayString>":                       -6.578670,
-		"</DockPanel>":                           -10.339870,
-		"</DocumentationFile>":                   -8.730432,
-		"</DotNetNamingPolicy>":                  -10.339870,
-		"</EdgeNavigator>":                       -10.339870,
-		"</EmbeddedResource>":                    -10.339870,
-		"</EnableDotNetNativeCompatibleProfile>": -10.339870,
-		"</ErrorReport>":                         -8.730432,
-		"</Expand>":                              -8.142645,
-		"</ExpandedItem>":                        -8.548110,
-		"</Extensions>":                          -9.241257,
-		"</FSharpTargetsPath>":                   -9.646723,
-		"</FileAlignment>":                       -9.241257,
-		"</FileWidth>":                           -10.339870,
-		"</Filter>":                              -8.037285,
-		"</FullClassName>":                       -9.646723,
-		"</GenerateDebugInformation>":            -9.646723,
-		"</Generator>":                           -9.241257,
-		"</Grid>":                                -10.339870,
-		"</Group>":                               -10.339870,
-		"</HBox.margin>":                         -10.339870,
-		"</HBox>":                                -10.339870,
-		"</HintPath>":                            -9.646723,
-		"</Icon>":                                -10.339870,
-		"</Image>":                               -10.339870,
-		"</ImportGroup>":                         -8.953575,
-		"</InputEndpoints>":                      -10.339870,
-		"</Installation>":                        -10.339870,
-		"</IntermediateOutputPath>":              -9.646723,
-		"</IsTutorial>":                          -10.339870,
-		"</Item>":                                -7.774920,
-		"</ItemDefinitionGroup>":                 -9.646723,
-		"</ItemGroup>":                           -6.650990,
-		"</Keyword>":                             -10.339870,
-		"</LastGenOutput>":                       -9.241257,
-		"</LayoutAnimation>":                     -10.339870,
-		"</Link>":                                -9.646723,
-		"</LinkIncremental>":                     -9.646723,
-		"</MacroReference>":                      -10.339870,
-		"</Metadata>":                            -10.339870,
-		"</MinimumVisualStudioVersion>":          -9.646723,
-		"</MyType>":                              -10.339870,
-		"</NDepend>":                             -10.339870,
-		"</Name>":                                -8.260428,
-		"</Nemerle>":                             -10.339870,
-		"</NemerleBinPathRoot>":                  -10.339870,
-		"</NemerleVersion>":                      -10.339870,
-		"</NoStdLib>":                            -10.339870,
-		"</NoTabsAfterNonTabs>":                  -10.339870,
-		"</NoWarn>":                              -9.646723,
-		"</None>":                                -9.646723,
-		"</NuGetTargetMoniker>":                  -10.339870,
-		"</NumberOfParentCategoriesToRollUp>":    -10.339870,
-		"</Optimization>":                        -10.339870,
-		"</Optimize>":                            -8.260428,
-		"</OptionCompare>":                       -10.339870,
-		"</OptionExplicit>":                      -10.339870,
-		"</OptionInfer>":                         -10.339870,
-		"</OptionStrict>":                        -10.339870,
-		"</Otherwise>":                           -10.339870,
-		"</OutputDir>":                           -10.339870,
-		"</OutputName>":                          -10.339870,
-		"</OutputPath>":                          -7.941974,
-		"</OutputType>":                          -8.393960,
-		"</PackageFiles>":                        -10.339870,
-		"</PackageManifest>":                     -10.339870,
-		"</PackageTargetFramework>":              -10.339870,
-		"</Panel>":                               -9.241257,
-		"</PhysicsObject>":                       -10.339870,
-		"</PhysicsObjectAngularDamping>":         -10.339870,
-		"</PhysicsObjectAwake>":                  -10.339870,
-		"</PhysicsObjectDensity>":                -10.339870,
-		"</PhysicsObjectFriction>":               -10.339870,
-		"</PhysicsObjectGroup>":                  -10.339870,
-		"</PhysicsObjectKinematic>":              -10.339870,
-		"</PhysicsObjectLinearDamping>":          -10.339870,
-		"</PhysicsObjectRestitution>":            -10.339870,
-		"</PhysicsObjectSensor>":                 -10.339870,
-		"</PhysicsObjectShape>":                  -10.339870,
-		"</Platform>":                            -7.567281,
-		"</PlatformTarget>":                      -8.548110,
-		"</PlatformToolset>":                     -9.241257,
-		"</PolicySet>":                           -10.339870,
-		"</PrecompiledHeader>":                   -8.953575,
-		"</PreprocessorDefinitions>":             -9.646723,
-		"</Private>":                             -9.241257,
-		"</ProductVersion>":                      -9.241257,
-		"</Project>":                             -7.449498,
-		"</ProjectConfiguration>":                -8.037285,
-		"</ProjectGuid>":                         -8.037285,
-		"</ProjectItem>":                         -8.953575,
-		"</ProjectReference>":                    -9.646723,
-		"</ProjectRoot>":                         -10.339870,
-		"</ProjectType>":                         -10.339870,
-		"</ProjectVersion>":                      -10.339870,
-		"</PropertyGroup>":                       -6.626298,
-		"</Queries>":                             -10.339870,
-		"</Query>":                               -9.646723,
-		"</RealOSVersion>":                       -10.339870,
-		"</Rectangle>":                           -9.646723,
-		"</Reference>":                           -7.774920,
-		"</References>":                          -10.339870,
-		"</Report>":                              -10.339870,
-		"</RequiredFrameworkVersion>":            -10.339870,
-		"</RequiredTargetFramework>":             -9.241257,
-		"</ResourceCompile>":                     -10.339870,
-		"</ResourceNamePolicy>":                  -10.339870,
-		"</Role>":                                -9.646723,
-		"</RoleName>":                            -10.339870,
-		"</RoleType>":                            -10.339870,
-		"</RootNamespace>":                       -8.548110,
-		"</SchemaVersion>":                       -9.241257,
-		"</Section>":                             -7.941974,
-		"</ServiceConfiguration>":                -9.646723,
-		"</ServiceDefinition>":                   -10.339870,
-		"</ShowByDefault>":                       -10.339870,
-		"</SolutionRoot>":                        -10.339870,
-		"</SpecificVersion>":                     -10.339870,
-		"</StackPanel>":                          -10.339870,
-		"</StartDevelopmentStorage>":             -10.339870,
-		"</StartupObject>":                       -10.339870,
-		"</SubSystem>":                           -9.646723,
-		"</SubType>":                             -9.646723,
-		"</SupportedFramework>":                  -10.339870,
-		"</Switch>":                              -10.339870,
-		"</Synthetic>":                           -7.344137,
-		"</TEI>":                                 -10.339870,
-		"</TS>":                                  -9.241257,
-		"</TableView>":                           -10.339870,
-		"</TabsToSpaces>":                        -10.339870,
-		"</Tailcalls>":                           -9.646723,
-		"</Target>":                              -7.344137,
-		"</TargetFSharpCoreVersion>":             -10.339870,
-		"</TargetFrameworkVersion>":              -8.730432,
-		"</TargetOsAndVersion>":                  -10.339870,
-		"</TargetPlatformIdentifier>":            -10.339870,
-		"</TargetPlatformMinVersion>":            -10.339870,
-		"</TargetPlatformVersion>":               -10.339870,
-		"</TemplateContent>":                     -10.339870,
-		"</TemplateData>":                        -10.339870,
-		"</TemplateID>":                          -10.339870,
-		"</Text>":                                -9.646723,
-		"</TextInput>":                           -10.339870,
-		"</TextStylePolicy>":                     -10.339870,
-		"</TutorialName>":                        -10.339870,
-		"</TutorialPage>":                        -10.339870,
-		"</TutorialState>":                       -10.339870,
-		"</Type>":                                -7.700812,
-		"</UniqueIdentifier>":                    -9.241257,
-		"</UseDebugLibraries>":                   -9.646723,
-		"</UseDotNetNativeToolchain>":            -9.241257,
-		"</VSTemplate>":                          -10.339870,
-		"</VersionMajor>":                        -10.339870,
-		"</VersionMinor>":                        -10.339870,
-		"</VersionPatch>":                        -10.339870,
-		"</VersionPreRelease>":                   -10.339870,
-		"</VerticalText>":                        -8.548110,
-		"</VisualStudioVersion>":                 -10.339870,
-		"</WarningLevel>":                        -8.142645,
-		"</WebConfig>":                           -10.339870,
-		"</WebRole>":                             -10.339870,
-		"</When>":                                -10.339870,
-		"</WhileKeyboardVisible>":                -10.339870,
-		"</WhilePressed>":                        -10.339870,
-		"</WhileTrue>":                           -10.339870,
-		"</WixTargetsPath>":                      -10.339870,
-		"</WizardData>":                          -10.339870,
-		"</WizardExtension>":                     -9.646723,
-		"</Workflow>":                            -10.339870,
-		"</a>":                                   -8.953575,
-		"</action>":                              -8.730432,
-		"</actions>":                             -10.339870,
-		"</active>":                              -10.339870,
-		"</alerts>":                              -10.339870,
-		"</argument>":                            -8.953575,
-		"</arguments>":                           -8.953575,
-		"</assembly>":                            -10.339870,
-		"</assert>":                              -7.044033,
-		"</assets>":                              -10.339870,
-		"</attDef>":                              -8.260428,
-		"</attList>":                             -8.142645,
-		"</author>":                              -10.339870,
-		"</authors>":                             -10.339870,
-		"</availability>":                        -10.339870,
-		"</background>":                          -8.730432,
-		"</backgrounds>":                         -10.339870,
-		"</bibliography>":                        -10.339870,
-		"</body>":                                -8.953575,
-		"</bottom>":                              -10.339870,
-		"</buildOutputProvider>":                 -6.650990,
-		"</c>":                                   -10.339870,
-		"</categories>":                          -10.339870,
-		"</category>":                            -9.646723,
-		"</ccEmails>":                            -10.339870,
-		"</cconfiguration>":                      -9.646723,
-		"</center>":                              -10.339870,
-		"</children>":                            -10.339870,
-		"</choose>":                              -6.262332,
-		"</citation>":                            -10.339870,
-		"</classSpec>":                           -8.730432,
-		"</codestring>":                          -8.730432,
-		"</columns>":                             -10.339870,
-		"</component>":                           -10.339870,
-		"</configuration>":                       -9.241257,
-		"</configurations>":                      -10.339870,
-		"</connections>":                         -10.339870,
-		"</constant>":                            -6.468669,
-		"</constants>":                           -10.339870,
-		"</constraint>":                          -8.393960,
-		"</constraintSpec>":                      -8.393960,
-		"</content>":                             -10.339870,
-		"</context>":                             -9.241257,
-		"</copyright>":                           -10.339870,
-		"</cproject>":                            -10.339870,
-		"</data>":                                -6.784522,
-		"</datafile>":                            -6.938672,
-		"</datafiles>":                           -8.548110,
-		"</date>":                                -6.784522,
-		"</dependencies>":                        -9.241257,
-		"</dependencyList>":                      -10.339870,
-		"</depth>":                               -10.339870,
-		"</desc>":                                -5.851233,
-		"</description>":                         -8.548110,
-		"</descriptorBase>":                      -9.241257,
-		"</directory>":                           -9.646723,
-		"</disabledValue>":                       -10.339870,
-		"</displayName>":                         -10.339870,
-		"</div>":                                 -8.142645,
-		"</dllmap>":                              -10.339870,
-		"</doc>":                                 -10.339870,
-		"</docAuthor>":                           -9.241257,
-		"</docDate>":                             -10.339870,
-		"</docTitle>":                            -10.339870,
-		"</document>":                            -9.646723,
-		"</ea:build>":                            -10.339870,
-		"</echo>":                                -9.646723,
-		"</elementSpec>":                         -5.603671,
-		"</else-if>":                             -7.007665,
-		"</else>":                                -6.728952,
-		"</email>":                               -10.339870,
-		"</enabledValue>":                        -10.339870,
-		"</event>":                               -8.730432,
-		"</events>":                              -10.339870,
-		"</exception>":                           -10.339870,
-		"</exetype>":                             -8.730432,
-		"</exists>":                              -6.938672,
-		"</exportAction>":                        -6.938672,
-		"</exportDir>":                           -6.938672,
-		"</extensions>":                          -9.646723,
-		"</fileDesc>":                            -10.339870,
-		"</filename>":                            -6.938672,
-		"</files>":                               -10.339870,
-		"</filter>":                              -10.339870,
-		"</folderInfo>":                          -9.646723,
-		"</formula>":                             -10.339870,
-		"</freeData>":                            -6.938672,
-		"</front>":                               -10.339870,
-		"</fullName>":                            -9.646723,
-		"</functionname>":                        -8.730432,
-		"</gi>":                                  -9.241257,
-		"</gml:Point>":                           -9.646723,
-		"</gml:pos>":                             -9.646723,
-		"</group>":                               -9.646723,
-		"</head>":                                -7.854963,
-		"</help>":                                -10.339870,
-		"</hi>":                                  -8.260428,
-		"</id>":                                  -8.393960,
-		"</if>":                                  -6.262332,
-		"</image>":                               -6.938672,
-		"</info>":                                -9.646723,
-		"</inputType>":                           -9.646723,
-		"</isnot>":                               -8.730432,
-		"</isquestion>":                          -8.730432,
-		"</item>":                                -9.646723,
-		"</ivy-module>":                          -10.339870,
-		"</kind>":                                -8.142645,
-		"</layout>":                              -9.646723,
-		"</libid>":                               -8.730432,
-		"</licence>":                             -9.646723,
-		"</license>":                             -10.339870,
-		"</licenseUrl>":                          -10.339870,
-		"</list>":                                -10.339870,
-		"</locale>":                              -10.339870,
-		"</macro>":                               -7.161816,
-		"</map>":                                 -10.339870,
-		"</maskName>":                            -10.339870,
-		"</media>":                               -10.339870,
-		"</member>":                              -5.527685,
-		"</members>":                             -10.339870,
-		"</message>":                             -7.248827,
-		"</metadata>":                            -10.339870,
-		"</mj-attributes>":                       -10.339870,
-		"</mj-body>":                             -9.646723,
-		"</mj-button>":                           -7.700812,
-		"</mj-column>":                           -6.511228,
-		"</mj-container>":                        -9.646723,
-		"</mj-head>":                             -10.339870,
-		"</mj-image>":                            -7.007665,
-		"</mj-inline-links>":                     -10.339870,
-		"</mj-link>":                             -9.241257,
-		"</mj-navbar>":                           -10.339870,
-		"</mj-section>":                          -7.081773,
-		"</mj-social>":                           -10.339870,
-		"</mj-text>":                             -6.676308,
-		"</mj-title>":                            -10.339870,
-		"</mjml>":                                -9.646723,
-		"</model>":                               -6.120362,
-		"</modelSequence>":                       -8.730432,
-		"</module>":                              -10.339870,
-		"</multiple>":                            -10.339870,
-		"</name>":                                -6.756351,
-		"</names>":                               -7.395431,
-		"</ncl>":                                 -9.241257,
-		"</node>":                                -7.204376,
-		"</object>":                              -7.295347,
-		"</objects>":                             -8.393960,
-		"</option>":                              -8.953575,
-		"</overwrite>":                           -6.938672,
-		"</owners>":                              -10.339870,
-		"</p>":                                   -6.296818,
-		"</package>":                             -10.339870,
-		"</packages>":                            -10.339870,
-		"</param>":                               -5.851233,
-		"</parentName>":                          -10.339870,
-		"</pattern>":                             -9.646723,
-		"</persistent>":                          -10.339870,
-		"</phase>":                               -8.953575,
-		"</phpunit>":                             -10.339870,
-		"</placeholder>":                         -10.339870,
-		"</plugin>":                              -10.339870,
-		"</policies>":                            -10.339870,
-		"</policy>":                              -10.339870,
-		"</policyDefinitionResources>":           -10.339870,
-		"</policyDefinitions>":                   -10.339870,
-		"</policyNamespaces>":                    -10.339870,
-		"</profile>":                             -6.650990,
-		"</project>":                             -9.646723,
-		"</projectUrl>":                          -10.339870,
-		"</protected>":                           -10.339870,
-		"</publicationStmt>":                     -10.339870,
-		"</publisher>":                           -10.339870,
-		"</ref>":                                 -10.339870,
-		"</regionBase>":                          -9.241257,
-		"</relative>":                            -8.730432,
-		"</removeEnd>":                           -6.938672,
-		"</rendition>":                           -5.714897,
-		"</report>":                              -7.567281,
-		"</requireLicenseAcceptance>":            -10.339870,
-		"</resheader>":                           -8.260428,
-		"</resources>":                           -10.339870,
-		"</returns>":                             -6.756351,
-		"</rights>":                              -10.339870,
-		"</room>":                                -9.646723,
-		"</rooms>":                               -10.339870,
-		"</root>":                                -10.339870,
-		"</rtf>":                                 -10.339870,
-		"</rule>":                                -8.142645,
-		"</rules>":                               -10.339870,
-		"</scannerConfigBuildInfo>":              -8.953575,
-		"</scannerInfoProvider>":                 -6.650990,
-		"</schema>":                              -9.241257,
-		"</schemaSpec>":                          -10.339870,
-		"</script>":                              -5.970422,
-		"</scripts>":                             -7.344137,
-		"</senderType>":                          -10.339870,
-		"</shader>":                              -9.646723,
-		"</shaders>":                             -9.646723,
-		"</single>":                              -10.339870,
-		"</size>":                                -6.938672,
-		"</solid>":                               -10.339870,
-		"</sort>":                                -10.339870,
-		"</sound>":                               -8.953575,
-		"</sounds>":                              -10.339870,
-		"</source>":                              -7.248827,
-		"</sourceDesc>":                          -10.339870,
-		"</sourceEntries>":                       -9.646723,
-		"</span>":                                -9.646723,
-		"</specGrp>":                             -8.260428,
-		"</sprite>":                              -7.774920,
-		"</spriteName>":                          -10.339870,
-		"</sprites>":                             -9.646723,
-		"</storageModule>":                       -8.393960,
-		"</store>":                               -6.938672,
-		"</string>":                              -8.393960,
-		"</stringTable>":                         -10.339870,
-		"</strong>":                              -8.730432,
-		"</style>":                               -10.339870,
-		"</substitute>":                          -8.730432,
-		"</summary>":                             -5.511556,
-		"</target>":                              -9.646723,
-		"</teiHeader>":                           -10.339870,
-		"</template>":                            -10.339870,
-		"</term>":                                -7.395431,
-		"</terms>":                               -10.339870,
-		"</testsuite>":                           -10.339870,
-		"</testsuites>":                          -10.339870,
-		"</text>":                                -10.339870,
-		"</tile>":                                -6.938672,
-		"</tileset>":                             -10.339870,
-		"</title-short>":                         -10.339870,
-		"</title>":                               -8.730432,
-		"</titlePage>":                           -10.339870,
-		"</titlePart>":                           -10.339870,
-		"</titleStmt>":                           -10.339870,
-		"</tool>":                                -8.260428,
-		"</toolChain>":                           -9.646723,
-		"</translation>":                         -7.248827,
-		"</triggerType>":                         -10.339870,
-		"</type>":                                -10.339870,
-		"</typeparam>":                           -7.854963,
-		"</updated>":                             -10.339870,
-		"</url>":                                 -10.339870,
-		"</useapplyto>":                          -8.730432,
-		"</userelative>":                         -8.730432,
-		"</valItem>":                             -6.063204,
-		"</valList>":                             -8.393960,
-		"</value>":                               -8.730432,
-		"</vendor>":                              -10.339870,
-		"</version>":                             -10.339870,
-		"</visible>":                             -10.339870,
-		"</whitelist>":                           -10.339870,
-		"</whoName>":                             -8.730432,
-		"</window>":                              -10.339870,
-		"</x:call>":                              -10.339870,
-		"</x:description>":                       -10.339870,
-		"</x:scenario>":                          -10.339870,
-		"</xsd:choice>":                          -10.339870,
-		"</xsd:complexType>":                     -9.241257,
-		"</xsd:element>":                         -9.241257,
-		"</xsd:schema>":                          -10.339870,
-		"</xsd:sequence>":                        -9.646723,
-		"</xsl:function>":                        -10.339870,
-		"</xsl:variable>":                        -10.339870,
-		"<?exactMatch>":                          -6.369578,
-		"<?fileVersion>":                         -10.339870,
-		"<?import>":                              -8.953575,
-		"<?xml-model>":                           -10.339870,
-		"<?xml>":                                 -6.427847,
-		"<AdditionalDependencies>":               -9.646723,
-		"<AlternativeType>":                      -8.953575,
-		"<App>":                                  -9.646723,
-		"<AppDesignerFolder>":                    -9.241257,
-		"<AppliesTo>":                            -10.339870,
-		"<AppxManifest>":                         -10.339870,
-		"<ArtifactsDir>":                         -10.339870,
-		"<Assemblies>":                           -10.339870,
-		"<Assembly>":                             -8.037285,
-		"<AssemblyName>":                         -8.730432,
-		"<AssemblyVersion>":                      -10.339870,
-		"<Asset>":                                -10.339870,
-		"<Assets>":                               -10.339870,
-		"<AutoGen>":                              -9.241257,
-		"<AutoGenerateBindingRedirects>":         -9.241257,
-		"<AutoVisualizer>":                       -10.339870,
-		"<BaselineInUISetting>":                  -10.339870,
-		"<BorderPane>":                           -10.339870,
-		"<BuildComparisonSetting>":               -10.339870,
-		"<Button>":                               -9.646723,
-		"<CLRSupport>":                           -9.646723,
-		"<CallTarget>":                           -8.548110,
-		"<Change>":                               -10.339870,
-		"<CharacterSet>":                         -9.646723,
-		"<Choose>":                               -10.339870,
-		"<ClCompile>":                            -8.260428,
-		"<ClInclude>":                            -8.953575,
-		"<Compile>":                              -7.941974,
-		"<Config>":                               -6.905883,
-		"<ConfigFiles>":                          -10.339870,
-		"<ConfigOptions>":                        -6.938672,
-		"<Configs>":                              -10.339870,
-		"<Configuration>":                        -7.567281,
-		"<ConfigurationSettings>":                -9.241257,
-		"<ConfigurationType>":                    -9.646723,
-		"<Content>":                              -7.161816,
-		"<Copy>":                                 -9.241257,
-		"<CopyToMask>":                           -6.938672,
-		"<CoverageFiles>":                        -10.339870,
-		"<CurrentBuildDateStamp>":                -10.339870,
-		"<CurrentBuildDir>":                      -10.339870,
-		"<CurrentBuildTimeStamp>":                -10.339870,
-		"<CustomParameter>":                      -8.548110,
-		"<CustomParameters>":                     -10.339870,
-		"<CustomToolNamespace>":                  -9.646723,
-		"<DebugSymbols>":                         -8.548110,
-		"<DebugType>":                            -8.393960,
-		"<DefaultLanguage>":                      -10.339870,
-		"<DefaultName>":                          -10.339870,
-		"<DefineConstants>":                      -8.260428,
-		"<DefineDebug>":                          -9.646723,
-		"<DefineTrace>":                          -9.646723,
-		"<Delete>":                               -9.646723,
-		"<Dependencies>":                         -10.339870,
-		"<Dependency>":                           -9.646723,
-		"<DependentUpon>":                        -9.241257,
-		"<Description>":                          -9.646723,
-		"<DesignTime>":                           -10.339870,
-		"<DesignTimeSharedInput>":                -10.339870,
-		"<Dir>":                                  -9.646723,
-		"<DirectoryNamespaceAssociation>":        -10.339870,
-		"<Dirs>":                                 -10.339870,
-		"<DisableFastUpToDateCheck>":             -10.339870,
-		"<DisplayName>":                          -10.339870,
-		"<DisplayString>":                        -6.578670,
-		"<DockPanel>":                            -10.339870,
-		"<DocumentationFile>":                    -8.730432,
-		"<DotNetNamingPolicy>":                   -10.339870,
-		"<EdgeNavigator>":                        -10.339870,
-		"<EmbeddedResource>":                     -10.339870,
-		"<EnableDotNetNativeCompatibleProfile>":  -10.339870,
-		"<ErrorReport>":                          -8.730432,
-		"<Expand>":                               -8.142645,
-		"<ExpandedItem>":                         -8.548110,
-		"<Extensions>":                           -9.241257,
-		"<FSharpTargetsPath>":                    -9.646723,
-		"<FileAlignment>":                        -9.241257,
-		"<FileWidth>":                            -10.339870,
-		"<Filter>":                               -8.037285,
-		"<Folder>":                               -10.339870,
-		"<FrameworkAssemblies>":                  -10.339870,
-		"<FullClassName>":                        -9.646723,
-		"<GenerateDebugInformation>":             -9.646723,
-		"<Generator>":                            -9.241257,
-		"<Grid>":                                 -10.339870,
-		"<Group>":                                -10.339870,
-		"<HBox.margin>":                          -10.339870,
-		"<HBox>":                                 -10.339870,
-		"<HintPath>":                             -9.646723,
-		"<Icon>":                                 -10.339870,
-		"<Identity>":                             -10.339870,
-		"<Image>":                                -9.646723,
-		"<Import>":                               -6.602200,
-		"<ImportGroup>":                          -8.953575,
-		"<InputEndpoint>":                        -10.339870,
-		"<InputEndpoints>":                       -10.339870,
-		"<Insets>":                               -10.339870,
-		"<Installation>":                         -10.339870,
-		"<InstallationTarget>":                   -10.339870,
-		"<Instances>":                            -9.646723,
-		"<IntermediateOutputPath>":               -9.646723,
-		"<IsTutorial>":                           -10.339870,
-		"<Item>":                                 -7.774920,
-		"<ItemDefinitionGroup>":                  -9.646723,
-		"<ItemGroup>":                            -6.650990,
-		"<Keyword>":                              -10.339870,
-		"<LastGenOutput>":                        -9.241257,
-		"<LayoutAnimation>":                      -10.339870,
-		"<Link>":                                 -9.646723,
-		"<LinkIncremental>":                      -9.646723,
-		"<MSBuild>":                              -10.339870,
-		"<MacroReference>":                       -10.339870,
-		"<MakeDir>":                              -9.646723,
-		"<Message>":                              -8.548110,
-		"<Metadata>":                             -10.339870,
-		"<MinimumVisualStudioVersion>":           -9.646723,
-		"<Move>":                                 -8.730432,
-		"<MyType>":                               -10.339870,
-		"<NDepend>":                              -10.339870,
-		"<Name>":                                 -8.548110,
-		"<Nemerle>":                              -10.339870,
-		"<NemerleBinPathRoot>":                   -10.339870,
-		"<NemerleVersion>":                       -10.339870,
-		"<NewExtensions/>":                       -10.339870,
-		"<NoStdLib>":                             -10.339870,
-		"<NoTabsAfterNonTabs>":                   -10.339870,
-		"<NoWarn>":                               -9.646723,
-		"<None>":                                 -8.393960,
-		"<NuGetTargetMoniker>":                   -10.339870,
-		"<NumberOfParentCategoriesToRollUp>":     -10.339870,
-		"<Optimization>":                         -10.339870,
-		"<Optimize>":                             -8.260428,
-		"<OptionCompare>":                        -10.339870,
-		"<OptionExplicit>":                       -10.339870,
-		"<OptionInfer>":                          -10.339870,
-		"<OptionStrict>":                         -10.339870,
-		"<Otherwise>":                            -10.339870,
-		"<OutputDir>":                            -10.339870,
-		"<OutputName>":                           -10.339870,
-		"<OutputPath>":                           -7.941974,
-		"<OutputType>":                           -8.393960,
-		"<PackageFiles>":                         -10.339870,
-		"<PackageManifest>":                      -10.339870,
-		"<PackageTargetFramework>":               -10.339870,
-		"<Panel>":                                -8.953575,
-		"<PhysicsObject>":                        -10.339870,
-		"<PhysicsObjectAngularDamping>":          -10.339870,
-		"<PhysicsObjectAwake>":                   -10.339870,
-		"<PhysicsObjectDensity>":                 -10.339870,
-		"<PhysicsObjectFriction>":                -10.339870,
-		"<PhysicsObjectGroup>":                   -10.339870,
-		"<PhysicsObjectKinematic>":               -10.339870,
-		"<PhysicsObjectLinearDamping>":           -10.339870,
-		"<PhysicsObjectRestitution>":             -10.339870,
-		"<PhysicsObjectSensor>":                  -10.339870,
-		"<PhysicsObjectShape>":                   -10.339870,
-		"<PhysicsShapePoints/>":                  -10.339870,
-		"<Platform>":                             -7.567281,
-		"<PlatformTarget>":                       -8.548110,
-		"<PlatformToolset>":                      -9.241257,
-		"<PolicySet>":                            -10.339870,
-		"<PrecompiledHeader>":                    -8.953575,
-		"<PreprocessorDefinitions>":              -9.646723,
-		"<Private>":                              -9.241257,
-		"<ProductVersion>":                       -9.241257,
-		"<Project>":                              -7.395431,
-		"<ProjectConfiguration>":                 -8.037285,
-		"<ProjectGuid>":                          -8.037285,
-		"<ProjectItem>":                          -8.953575,
-		"<ProjectReference>":                     -8.730432,
-		"<ProjectRoot>":                          -10.339870,
-		"<ProjectType>":                          -10.339870,
-		"<ProjectVersion>":                       -10.339870,
-		"<PropertyGroup>":                        -6.602200,
-		"<Queries>":                              -10.339870,
-		"<Query>":                                -9.646723,
-		"<RealOSVersion>":                        -10.339870,
-		"<Rectangle>":                            -8.260428,
-		"<Reference>":                            -6.702284,
-		"<References>":                           -10.339870,
-		"<RemoveDir>":                            -10.339870,
-		"<Report>":                               -10.339870,
-		"<RequiredFrameworkVersion>":             -10.339870,
-		"<RequiredTargetFramework>":              -9.241257,
-		"<ResourceCompile>":                      -9.646723,
-		"<ResourceNamePolicy>":                   -10.339870,
-		"<Role>":                                 -9.646723,
-		"<RoleName>":                             -10.339870,
-		"<RoleType>":                             -10.339870,
-		"<RootNamespace>":                        -8.548110,
-		"<Rotation>":                             -10.339870,
-		"<SchemaVersion>":                        -9.241257,
-		"<Section>":                              -7.941974,
-		"<ServiceConfiguration>":                 -9.241257,
-		"<ServiceDefinition>":                    -9.646723,
-		"<Setting>":                              -9.241257,
-		"<ShowByDefault>":                        -10.339870,
-		"<SolutionRoot>":                         -10.339870,
-		"<SourceFileRebasing>":                   -10.339870,
-		"<SpecificVersion>":                      -10.339870,
-		"<StackPanel>":                           -10.339870,
-		"<StartDevelopmentStorage>":              -10.339870,
-		"<StartupObject>":                        -10.339870,
-		"<SubSystem>":                            -9.646723,
-		"<SubType>":                              -9.646723,
-		"<SupportedFramework>":                   -10.339870,
-		"<Switch>":                               -10.339870,
-		"<Synthetic>":                            -7.344137,
-		"<TEI>":                                  -10.339870,
-		"<TS>":                                   -9.241257,
-		"<TableColumn>":                          -9.241257,
-		"<TableView>":                            -10.339870,
-		"<TabsToSpaces>":                         -10.339870,
-		"<Tailcalls>":                            -9.646723,
-		"<Target>":                               -7.631820,
-		"<TargetFSharpCoreVersion>":              -10.339870,
-		"<TargetFrameworkVersion>":               -8.730432,
-		"<TargetOsAndVersion>":                   -10.339870,
-		"<TargetPlatformIdentifier>":             -10.339870,
-		"<TargetPlatformMinVersion>":             -10.339870,
-		"<TargetPlatformVersion>":                -10.339870,
-		"<TemplateContent>":                      -10.339870,
-		"<TemplateData>":                         -10.339870,
-		"<TemplateID>":                           -10.339870,
-		"<Text>":                                 -8.953575,
-		"<TextInput>":                            -10.339870,
-		"<TextStylePolicy>":                      -10.339870,
-		"<TopFrameBackground>":                   -10.339870,
-		"<TutorialName>":                         -10.339870,
-		"<TutorialPage>":                         -10.339870,
-		"<TutorialState>":                        -10.339870,
-		"<Type>":                                 -7.700812,
-		"<UniqueIdentifier>":                     -9.241257,
-		"<UseDebugLibraries>":                    -9.646723,
-		"<UseDotNetNativeToolchain>":             -9.241257,
-		"<UsingTask>":                            -9.646723,
-		"<VSTemplate>":                           -10.339870,
-		"<VersionMajor>":                         -10.339870,
-		"<VersionMinor>":                         -10.339870,
-		"<VersionPatch>":                         -10.339870,
-		"<VersionPreRelease>":                    -10.339870,
-		"<VerticalText>":                         -8.548110,
-		"<VisualStudioVersion>":                  -10.339870,
-		"<WarnFilter>":                           -10.339870,
-		"<WarningLevel>":                         -8.142645,
-		"<WebConfig>":                            -10.339870,
-		"<WebRole>":                              -10.339870,
-		"<When>":                                 -10.339870,
-		"<WhileKeyboardVisible>":                 -10.339870,
-		"<WhilePressed>":                         -10.339870,
-		"<WhileTrue>":                            -10.339870,
-		"<WixTargetsPath>":                       -10.339870,
-		"<WizardData>":                           -10.339870,
-		"<WizardExtension>":                      -9.646723,
-		"<Workflow>":                             -10.339870,
-		"<XmlPoke>":                              -10.339870,
-		"<Zip>":                                  -10.339870,
-		"<ZipFiles>":                             -10.339870,
-		"<a>":                                    -8.953575,
-		"<action>":                               -8.730432,
-		"<actions>":                              -10.339870,
-		"<active>":                               -6.150215,
-		"<additionalInput>":                      -8.953575,
-		"<alerts>":                               -10.339870,
-		"<anchor>":                               -10.339870,
-		"<argument>":                             -8.953575,
-		"<arguments>":                            -8.953575,
-		"<assembly>":                             -10.339870,
-		"<assert>":                               -7.044033,
-		"<assets>":                               -10.339870,
-		"<attDef>":                               -7.700812,
-		"<attList>":                              -8.142645,
-		"<author>":                               -10.339870,
-		"<authors>":                              -10.339870,
-		"<autodiscovery>":                        -8.730432,
-		"<autoresizingMask>":                     -10.339870,
-		"<availability>":                         -10.339870,
-		"<background>":                           -8.730432,
-		"<backgrounds>":                          -10.339870,
-		"<bibliography>":                         -10.339870,
-		"<body>":                                 -8.953575,
-		"<bottom>":                               -10.339870,
-		"<br>":                                   -8.730432,
-		"<buildOutputProvider>":                  -6.650990,
-		"<builder>":                              -9.646723,
-		"<c>":                                    -10.339870,
-		"<categories>":                           -10.339870,
-		"<category>":                             -8.953575,
-		"<ccEmails>":                             -10.339870,
-		"<cconfiguration>":                       -9.646723,
-		"<center>":                               -10.339870,
-		"<children>":                             -10.339870,
-		"<choose>":                               -6.262332,
-		"<citation>":                             -10.339870,
-		"<classRef>":                             -8.548110,
-		"<classSpec>":                            -7.295347,
-		"<codestring>":                           -8.730432,
-		"<color>":                                -10.339870,
-		"<columns>":                              -10.339870,
-		"<component>":                            -10.339870,
-		"<conf>":                                 -9.646723,
-		"<configuration>":                        -9.241257,
-		"<configurations>":                       -10.339870,
-		"<connections>":                          -10.339870,
-		"<constant>":                             -6.468669,
-		"<constants>":                            -10.339870,
-		"<constraint>":                           -8.393960,
-		"<constraintSpec>":                       -8.393960,
-		"<content>":                              -10.339870,
-		"<context>":                              -9.241257,
-		"<copyright/>":                           -10.339870,
-		"<copyright>":                            -10.339870,
-		"<cproject>":                             -10.339870,
-		"<customObject>":                         -10.339870,
-		"<data>":                                 -6.784522,
-		"<datafile>":                             -6.938672,
-		"<datafiles>":                            -8.548110,
-		"<date-part>":                            -5.829010,
-		"<date>":                                 -6.784522,
-		"<decimal>":                              -9.646723,
-		"<dependencies>":                         -9.241257,
-		"<dependency>":                           -8.730432,
-		"<dependencyList>":                       -10.339870,
-		"<depth>":                                -10.339870,
-		"<desc>":                                 -5.851233,
-		"<description>":                          -8.548110,
-		"<descriptor>":                           -9.241257,
-		"<descriptorBase>":                       -9.241257,
-		"<directory>":                            -9.646723,
-		"<disabledValue>":                        -10.339870,
-		"<displayName>":                          -10.339870,
-		"<div>":                                  -8.142645,
-		"<dllentry>":                             -9.646723,
-		"<dllmap>":                               -10.339870,
-		"<doc>":                                  -10.339870,
-		"<docAuthor>":                            -9.241257,
-		"<docDate>":                              -10.339870,
-		"<docTitle>":                             -10.339870,
-		"<document>":                             -9.646723,
-		"<ea:build>":                             -9.646723,
-		"<ea:plugin>":                            -9.646723,
-		"<ea:property>":                          -10.339870,
-		"<echo>":                                 -9.646723,
-		"<edge>":                                 -7.567281,
-		"<elementRef>":                           -6.578670,
-		"<elementSpec>":                          -5.603671,
-		"<else-if>":                              -7.007665,
-		"<else>":                                 -6.728952,
-		"<email>":                                -10.339870,
-		"<enabledValue>":                         -10.339870,
-		"<entry>":                                -9.646723,
-		"<event>":                                -8.730432,
-		"<events>":                               -10.339870,
-		"<exception>":                            -10.339870,
-		"<exclude-output>":                       -10.339870,
-		"<exetype>":                              -8.730432,
-		"<exists>":                               -6.938672,
-		"<exportAction>":                         -6.938672,
-		"<exportDir>":                            -6.938672,
-		"<extension>":                            -7.854963,
-		"<extensions>":                           -9.646723,
-		"<externalSettings/>":                    -9.646723,
-		"<file>":                                 -10.339870,
-		"<fileDesc>":                             -10.339870,
-		"<filename>":                             -6.938672,
-		"<files>":                                -10.339870,
-		"<filter>":                               -10.339870,
-		"<folderInfo>":                           -9.646723,
-		"<font>":                                 -7.204376,
-		"<formula>":                              -10.339870,
-		"<freeData>":                             -6.938672,
-		"<front>":                                -10.339870,
-		"<fullName>":                             -9.646723,
-		"<functionname>":                         -8.730432,
-		"<gi>":                                   -9.241257,
-		"<gml:Point>":                            -9.646723,
-		"<gml:pos>":                              -9.646723,
-		"<group>":                                -9.646723,
-		"<head>":                                 -7.854963,
-		"<help>":                                 -10.339870,
-		"<hi>":                                   -8.260428,
-		"<hook>":                                 -10.339870,
-		"<id>":                                   -8.393960,
-		"<if>":                                   -6.262332,
-		"<image>":                                -6.938672,
-		"<include>":                              -9.241257,
-		"<info>":                                 -9.646723,
-		"<inputType>":                            -8.260428,
-		"<isnot>":                                -8.730432,
-		"<isquestion>":                           -8.730432,
-		"<item>":                                 -9.646723,
-		"<ivy-module>":                           -10.339870,
-		"<key>":                                  -9.646723,
-		"<kind>":                                 -8.142645,
-		"<label>":                                -8.393960,
-		"<layout>":                               -9.646723,
-		"<let>":                                  -7.774920,
-		"<libid>":                                -8.730432,
-		"<licence>":                              -9.646723,
-		"<license>":                              -10.339870,
-		"<licenseUrl>":                           -10.339870,
-		"<link>":                                 -9.646723,
-		"<list>":                                 -10.339870,
-		"<listOptionValue>":                      -8.953575,
-		"<locale>":                               -10.339870,
-		"<location>":                             -7.567281,
-		"<m:ns>":                                 -8.730432,
-		"<macro>":                                -7.161816,
-		"<map>":                                  -10.339870,
-		"<maskName>":                             -10.339870,
-		"<media>":                                -8.953575,
-		"<member>":                               -5.527685,
-		"<members>":                              -10.339870,
-		"<message>":                              -7.248827,
-		"<metadata>":                             -10.339870,
-		"<mj-all>":                               -10.339870,
-		"<mj-attributes>":                        -10.339870,
-		"<mj-body>":                              -9.646723,
-		"<mj-button>":                            -7.700812,
-		"<mj-column>":                            -6.511228,
-		"<mj-container>":                         -9.646723,
-		"<mj-divider>":                           -9.646723,
-		"<mj-font>":                              -9.646723,
-		"<mj-head>":                              -10.339870,
-		"<mj-image>":                             -7.007665,
-		"<mj-inline-links>":                      -10.339870,
-		"<mj-link>":                              -9.241257,
-		"<mj-navbar>":                            -10.339870,
-		"<mj-section>":                           -7.081773,
-		"<mj-social>":                            -10.339870,
-		"<mj-text>":                              -6.650990,
-		"<mj-title>":                             -10.339870,
-		"<mjml>":                                 -9.646723,
-		"<model>":                                -5.204071,
-		"<modelSequence>":                        -8.730432,
-		"<module>":                               -10.339870,
-		"<moduleRef>":                            -9.646723,
-		"<multiple>":                             -10.339870,
-		"<name/>":                                -9.241257,
-		"<name>":                                 -6.332537,
-		"<names>":                                -7.044033,
-		"<ncl>":                                  -9.241257,
-		"<node>":                                 -7.204376,
-		"<ns>":                                   -8.393960,
-		"<number>":                               -7.941974,
-		"<object>":                               -7.295347,
-		"<objects>":                              -8.393960,
-		"<openAction>":                           -6.650990,
-		"<option>":                               -7.567281,
-		"<orderEntry>":                           -9.241257,
-		"<outlet>":                               -10.339870,
-		"<overwrite>":                            -6.938672,
-		"<owners>":                               -10.339870,
-		"<p>":                                    -6.296818,
-		"<package>":                              -7.344137,
-		"<packages>":                             -10.339870,
-		"<param>":                                -5.851233,
-		"<parentCategory>":                       -9.646723,
-		"<parentName>":                           -10.339870,
-		"<parser>":                               -5.957843,
-		"<paths>":                                -10.339870,
-		"<pattern>":                              -9.646723,
-		"<persistent>":                           -10.339870,
-		"<phase>":                                -8.953575,
-		"<phpunit>":                              -10.339870,
-		"<placeholder>":                          -9.646723,
-		"<plugIn>":                               -9.646723,
-		"<plugin>":                               -10.339870,
-		"<policies>":                             -10.339870,
-		"<policy>":                               -10.339870,
-		"<policyDefinitionResources>":            -10.339870,
-		"<policyDefinitions>":                    -10.339870,
-		"<policyNamespaces>":                     -10.339870,
-		"<port>":                                 -9.241257,
-		"<profile>":                              -6.650990,
-		"<project>":                              -9.646723,
-		"<projectUrl>":                           -10.339870,
-		"<property>":                             -9.646723,
-		"<protected>":                            -10.339870,
-		"<publicationStmt>":                      -10.339870,
-		"<publisher>":                            -10.339870,
-		"<rect>":                                 -10.339870,
-		"<ref>":                                  -10.339870,
-		"<region>":                               -9.241257,
-		"<regionBase>":                           -9.241257,
-		"<relative>":                             -8.730432,
-		"<removeEnd>":                            -6.938672,
-		"<rendition>":                            -5.705141,
-		"<report>":                               -7.567281,
-		"<requireLicenseAcceptance>":             -10.339870,
-		"<resheader>":                            -8.393960,
-		"<resources>":                            -9.646723,
-		"<returns>":                              -6.756351,
-		"<rights>":                               -10.339870,
-		"<room>":                                 -9.646723,
-		"<rooms>":                                -10.339870,
-		"<root>":                                 -10.339870,
-		"<rtf>":                                  -10.339870,
-		"<rule>":                                 -8.142645,
-		"<rules>":                                -10.339870,
-		"<runAction>":                            -6.650990,
-		"<scannerConfigBuildInfo>":               -8.953575,
-		"<scannerInfoProvider>":                  -6.650990,
-		"<scenes/>":                              -10.339870,
-		"<schema>":                               -9.241257,
-		"<schemaSpec>":                           -10.339870,
-		"<script>":                               -5.970422,
-		"<scripts>":                              -7.344137,
-		"<senderType>":                           -10.339870,
-		"<shader>":                               -9.646723,
-		"<shaders>":                              -9.646723,
-		"<single>":                               -10.339870,
-		"<size>":                                 -6.938672,
-		"<solid>":                                -10.339870,
-		"<sort>":                                 -10.339870,
-		"<sound>":                                -8.953575,
-		"<sounds>":                               -10.339870,
-		"<source>":                               -7.248827,
-		"<sourceDesc>":                           -10.339870,
-		"<sourceEntries>":                        -9.646723,
-		"<sourceFolder>":                         -9.646723,
-		"<span>":                                 -9.646723,
-		"<specGrp>":                              -8.260428,
-		"<specGrpRef>":                           -8.142645,
-		"<sprite>":                               -7.774920,
-		"<spriteName>":                           -10.339870,
-		"<sprites>":                              -9.646723,
-		"<storageModule>":                        -7.700812,
-		"<store>":                                -6.938672,
-		"<string>":                               -8.393960,
-		"<stringTable>":                          -10.339870,
-		"<strong>":                               -8.730432,
-		"<style-options>":                        -10.339870,
-		"<style>":                                -10.339870,
-		"<substitute>":                           -8.730432,
-		"<summary>":                              -5.511556,
-		"<supportedOn>":                          -10.339870,
-		"<target>":                               -9.241257,
-		"<targetPlatform>":                       -9.646723,
-		"<teiHeader>":                            -10.339870,
-		"<template>":                             -10.339870,
-		"<term>":                                 -7.395431,
-		"<terms>":                                -10.339870,
-		"<testsuite>":                            -10.339870,
-		"<testsuites>":                           -10.339870,
-		"<text>":                                 -4.559126,
-		"<tile>":                                 -6.938672,
-		"<tileset>":                              -10.339870,
-		"<title-short>":                          -10.339870,
-		"<title>":                                -8.730432,
-		"<titlePage>":                            -10.339870,
-		"<titlePart>":                            -10.339870,
-		"<titleStmt>":                            -10.339870,
-		"<tool>":                                 -7.854963,
-		"<toolChain>":                            -9.646723,
-		"<translation>":                          -7.248827,
-		"<triggerType>":                          -10.339870,
-		"<type>":                                 -10.339870,
-		"<typeparam>":                            -7.854963,
-		"<updated>":                              -10.339870,
-		"<url>":                                  -10.339870,
-		"<useapplyto>":                           -8.730432,
-		"<userelative>":                          -8.730432,
-		"<using>":                                -10.339870,
-		"<valItem>":                              -5.921029,
-		"<valList>":                              -8.393960,
-		"<value-of>":                             -6.756351,
-		"<value>":                                -8.730432,
-		"<vendor>":                               -10.339870,
-		"<version>":                              -10.339870,
-		"<visible>":                              -10.339870,
-		"<whitelist>":                            -10.339870,
-		"<whoName>":                              -8.730432,
-		"<window>":                               -10.339870,
-		"<x:call>":                               -10.339870,
-		"<x:description>":                        -10.339870,
-		"<x:expect>":                             -10.339870,
-		"<x:param>":                              -10.339870,
-		"<x:scenario>":                           -10.339870,
-		"<xsd:attribute>":                        -8.953575,
-		"<xsd:choice>":                           -10.339870,
-		"<xsd:complexType>":                      -9.241257,
-		"<xsd:element>":                          -8.548110,
-		"<xsd:schema>":                           -10.339870,
-		"<xsd:sequence>":                         -9.646723,
-		"<xsl:function>":                         -10.339870,
-		"<xsl:include>":                          -10.339870,
-		"<xsl:param>":                            -10.339870,
-		"<xsl:sequence>":                         -10.339870,
-		"<xsl:value-of>":                         -9.241257,
-		"<xsl:variable>":                         -10.339870,
-		"=":                                      -6.388626,
-		">":                                      -6.874134,
-		"?":                                      -10.339870,
-		"@align":                                 -10.339870,
-		"A":                                      -6.702284,
-		"A/":                                     -10.339870,
-		"AAAAAASUVORK":                           -10.339870,
-		"AAAAABJRU":                              -10.339870,
-		"AAAAAElFTkSuQmCC":                       -9.646723,
-		"AAAAASUVORK":                            -9.241257,
-		"AAD":                                    -10.339870,
-		"AB":                                     -10.339870,
-		"ABAD":                                   -10.339870,
-		"ABNZRANiOw":                             -10.339870,
-		"ABY":                                    -10.339870,
-		"AC":                                     -7.941974,
-		"ACvKyUk":                                -10.339870,
-		"AE":                                     -10.339870,
-		"AFhTiBlAzABCvEUt":                       -10.339870,
-		"AH":                                     -6.874134,
-		"AHOfoP":                                 -10.339870,
-		"AHTQnuq/EpedjfCjOU":                     -10.339870,
-		"AHxIzDcNxSb":                            -10.339870,
-		"AINp":                                   -10.339870,
-		"AJYyUM":                                 -10.339870,
-		"AKwwNk":                                 -10.339870,
-		"ALDER":                                  -10.339870,
-		"ALHjBgFCECH":                            -10.339870,
-		"ANDERSON":                               -10.339870,
-		"APFOMI":                                 -10.339870,
-		"APfnVRznOjVXwmmZl/upfrfNUtVwAOBqFKxVC/c": -10.339870,
-		"AQ":                                -9.646723,
-		"AQMKGDI":                           -10.339870,
-		"AQfg/ug":                           -10.339870,
-		"ARFaQyrqz":                         -10.339870,
-		"ARM":                               -9.646723,
-		"AS":                                -10.339870,
-		"ASZt":                              -10.339870,
-		"ATTLIST":                           -9.646723,
-		"ATgvlQ/F":                          -10.339870,
-		"AUT":                               -10.339870,
-		"AUcp":                              -10.339870,
-		"AVlWoqYpVKPv":                      -10.339870,
-		"AWem":                              -10.339870,
-		"AXRtF":                             -10.339870,
-		"AXc":                               -10.339870,
-		"AZCSXu":                            -10.339870,
-		"AZPLMmQ":                           -10.339870,
-		"Aa":                                -10.339870,
-		"AbapkqBjVPJSc":                     -10.339870,
-		"Abstractness":                      -10.339870,
-		"Active=":                           -9.241257,
-		"Ad":                                -10.339870,
-		"AddRange":                          -9.646723,
-		"AdwmhUhAp":                         -10.339870,
-		"Aenean":                            -8.548110,
-		"AfUc":                              -10.339870,
-		"Afr/":                              -10.339870,
-		"Agp":                               -10.339870,
-		"AhfFda":                            -10.339870,
-		"AiEBMTFTUVFRUVT":                   -10.339870,
-		"AiqfqIHZUoznbTfURwYA":              -10.339870,
-		"AjQb":                              -10.339870,
-		"AkBgKtYYWHYAEgyENAHiY":             -10.339870,
-		"AkT":                               -10.339870,
-		"AkmIky":                            -10.339870,
-		"AkqTsdFiKTLAfUvYI":                 -10.339870,
-		"Al":                                -10.339870,
-		"AlHZSrgwEkFAWFjxSyNe":              -10.339870,
-		"Alert":                             -9.646723,
-		"Alice":                             -8.142645,
-		"Alignment=":                        -7.700812,
-		"Aliquam":                           -8.730432,
-		"All":                               -10.339870,
-		"AmAaEzqhq":                         -10.339870,
-		"An":                                -7.081773,
-		"AnYNENO":                           -10.339870,
-		"Analysis":                          -10.339870,
-		"Anderson":                          -10.339870,
-		"Another":                           -9.241257,
-		"AnyCPU":                            -7.248827,
-		"AoJqPdNrZA":                        -10.339870,
-		"ApO":                               -10.339870,
-		"AppName=":                          -10.339870,
-		"Application":                       -8.730432,
-		"Application.Designer.vb":           -10.339870,
-		"Application.Fields":                -10.339870,
-		"Application.myapp":                 -10.339870,
-		"ApplicationProject":                -9.646723,
-		"Apr.":                              -10.339870,
-		"AqdW":                              -10.339870,
-		"Archiver":                          -9.646723,
-		"Arial":                             -8.037285,
-		"ArtifactsDir":                      -10.339870,
-		"Assembler":                         -9.646723,
-		"Assemblies":                        -8.730432,
-		"AssemblyInfo":                      -10.339870,
-		"AssemblyName":                      -10.339870,
-		"AssemblyName=":                     -9.646723,
-		"Association":                       -10.339870,
-		"AsyncGet":                          -10.339870,
-		"AtHddI":                            -10.339870,
-		"Attempts":                          -10.339870,
-		"Attribute":                         -10.339870,
-		"Attribution":                       -9.646723,
-		"Aug.":                              -10.339870,
-		"AwGd":                              -10.339870,
-		"AwziG":                             -10.339870,
-		"Ay":                                -10.339870,
-		"AyDux":                             -10.339870,
-		"Ayj":                               -10.339870,
-		"AykpfCcbzv":                        -10.339870,
-		"Azd":                               -10.339870,
-		"Azure":                             -9.646723,
-		"B":                                 -8.037285,
-		"BACKPACK":                          -9.646723,
-		"BAQzkc/Yiwa":                       -10.339870,
-		"BB":                                -10.339870,
-		"BBF":                               -10.339870,
-		"BD":                                -10.339870,
-		"BE":                                -10.339870,
-		"BEERgmhJvAntKLwLtJ":                -10.339870,
-		"BETp":                              -10.339870,
-		"BEXKairlYSrFbiL":                   -10.339870,
-		"BEYOND":                            -10.339870,
-		"BF":                                -8.953575,
-		"BFkfl":                             -10.339870,
-		"BHQfJdA":                           -10.339870,
-		"BHg/":                              -10.339870,
-		"BINARY":                            -9.241257,
-		"BJB":                               -10.339870,
-		"BKeWMTHEAAAAASUVORK":               -10.339870,
-		"BLAKE":                             -10.339870,
-		"BNaj":                              -10.339870,
-		"BOD":                               -10.339870,
-		"BOOLEAN":                           -9.241257,
-		"BP":                                -10.339870,
-		"BRMHZxrN":                          -10.339870,
-		"BSD":                               -9.646723,
-		"BT":                                -9.646723,
-		"BU":                                -10.339870,
-		"BUY":                               -8.037285,
-		"BWhS":                              -10.339870,
-		"BY":                                -9.646723,
-		"BZKTz":                             -10.339870,
-		"BZTHTUEApqXA":                      -10.339870,
-		"BZbsTlEFENAOUGToAAKuVkF":           -10.339870,
-		"BZwrcT":                            -10.339870,
-		"BaV":                               -10.339870,
-		"Background":                        -10.339870,
-		"Background=":                       -10.339870,
-		"Bb":                                -10.339870,
-		"BcB":                               -10.339870,
-		"BcLIHxOwJwKsGn":                    -10.339870,
-		"BeWrUMuH":                          -10.339870,
-		"BeZ":                               -10.339870,
-		"Beach":                             -10.339870,
-		"BfZOgtUvT":                         -10.339870,
-		"Bh/MYCpnCu/etFOCtqntXs":            -10.339870,
-		"Binary":                            -10.339870,
-		"BindTo":                            -10.339870,
-		"Bit":                               -8.953575,
-		"BiznjmAJ":                          -10.339870,
-		"Bkyx":                              -10.339870,
-		"BlD":                               -10.339870,
-		"Block":                             -10.339870,
-		"Blue":                              -10.339870,
-		"BngynDNjR/":                        -10.339870,
-		"BorderPane":                        -9.646723,
-		"Bot":                               -9.646723,
-		"Bowerbird.Website":                 -10.339870,
-		"BpMhFh":                            -10.339870,
-		"BpjkTw":                            -10.339870,
-		"Brian":                             -10.339870,
-		"Build":                             -10.339870,
-		"BuildFileToCompareWith=":           -9.646723,
-		"BuildMode=":                        -9.646723,
-		"BuildNumber":                       -10.339870,
-		"Builder":                           -9.646723,
-		"But":                               -10.339870,
-		"Bv":                                -10.339870,
-		"BwZFjJ/":                           -10.339870,
-		"ByFBSUsgwTH":                       -10.339870,
-		"ByIhoqOjj":                         -10.339870,
-		"C":                                 -7.007665,
-		"C/":                                -10.339870,
-		"C/a":                               -10.339870,
-		"C3":                                -10.339870,
-		"CAMDEN":                            -10.339870,
-		"CAP":                               -10.339870,
-		"CAiTht":                            -10.339870,
-		"CAnQcpC":                           -10.339870,
-		"CC":                                -9.241257,
-		"CCBV":                              -10.339870,
-		"CENTER":                            -9.646723,
-		"CFIdOZl/f":                         -10.339870,
-		"CGJxYdmTeSQK":                      -10.339870,
-		"CHESTERK":                          -10.339870,
-		"CHOICE":                            -10.339870,
-		"CHhUN":                             -10.339870,
-		"CIAGxpAiSIkiKmKhAIBD":              -10.339870,
-		"CIARt":                             -10.339870,
-		"CITbW":                             -10.339870,
-		"CIaRRWE":                           -10.339870,
-		"CIqj":                              -10.339870,
-		"CJSyCb":                            -10.339870,
-		"CKoMja":                            -10.339870,
-		"CKwoXU":                            -10.339870,
-		"CMSf":                              -10.339870,
-		"CMbdI":                             -10.339870,
-		"CMpxMkRTfq":                        -10.339870,
-		"COLLECTION":                        -10.339870,
-		"COLOR=":                            -7.204376,
-		"COWNDkOiwzABEH":                    -10.339870,
-		"CPJP":                              -10.339870,
-		"CPU":                               -10.339870,
-		"CQL":                               -10.339870,
-		"CQLBhEhI":                          -10.339870,
-		"CQMWKNfN":                          -10.339870,
-		"CREATED=":                          -7.204376,
-		"CRHgschwPGQwZq":                    -10.339870,
-		"CRykv/BD":                          -10.339870,
-		"CSharp":                            -9.646723,
-		"CTAESWG":                           -10.339870,
-		"CTEsEAZI":                          -10.339870,
-		"CTwKKPh":                           -10.339870,
-		"CURRENCIES":                        -10.339870,
-		"CUV/UfX":                           -10.339870,
-		"CUi":                               -10.339870,
-		"CVI":                               -10.339870,
-		"CXSejzLweCFdrHpxwd":                -10.339870,
-		"CXdfLj":                            -10.339870,
-		"CYII":                              -7.774920,
-		"CZcI":                              -10.339870,
-		"Call":                              -10.339870,
-		"Case":                              -9.646723,
-		"CbXcT":                             -10.339870,
-		"CcYDOp":                            -10.339870,
-		"Cci":                               -10.339870,
-		"CdMl":                              -10.339870,
-		"Cdsn":                              -10.339870,
-		"Cdsrihynd":                         -10.339870,
-		"Cgxy":                              -10.339870,
-		"ChDMV":                             -10.339870,
-		"Change":                            -9.646723,
-		"ChangeTrackingEnabled":             -9.646723,
-		"Changed":                           -8.953575,
-		"Changed.":                          -10.339870,
-		"Changing":                          -8.730432,
-		"Changing/Changed":                  -10.339870,
-		"Chv":                               -10.339870,
-		"CiZYdOx":                           -10.339870,
-		"CkEwP":                             -10.339870,
-		"CkrO":                              -10.339870,
-		"Class":                             -10.339870,
-		"Classes":                           -10.339870,
-		"Clc":                               -10.339870,
-		"CmtNKszQTkv":                       -10.339870,
-		"Collection.Select":                 -10.339870,
-		"Color=":                            -8.260428,
-		"Colour":                            -10.339870,
-		"ColumnCount=":                      -10.339870,
-		"Common":                            -8.548110,
-		"Commons":                           -9.646723,
-		"Compiler":                          -8.953575,
-		"Conceptually":                      -10.339870,
-		"Concurrency":                       -10.339870,
-		"Condition=":                        -5.909053,
-		"Configs":                           -10.339870,
-		"Configuration":                     -7.204376,
-		"Consider":                          -9.646723,
-		"Console":                           -9.241257,
-		"Consortium":                        -9.646723,
-		"Consortium.":                       -10.339870,
-		"Constructor":                       -9.646723,
-		"Constructs":                        -8.953575,
-		"Converts":                          -9.646723,
-		"Cooking":                           -9.646723,
-		"Copyright":                         -10.339870,
-		"Count.":                            -8.953575,
-		"Covariant":                         -10.339870,
-		"CpBDtlBGMCrepTdSfjCFnZgpIA/":       -10.339870,
-		"CqlmZiaeaKICmChBlCEM":              -10.339870,
-		"Cr":                                -10.339870,
-		"Create":                            -9.646723,
-		"Created":                           -9.646723,
-		"Creates":                           -9.241257,
-		"Creative":                          -9.646723,
-		"CrkMNJ":                            -10.339870,
-		"CsQJANgoSKJosgAdGkFYgKxFcgKlZUnHn": -10.339870,
-		"CslOmoqiV":                         -10.339870,
-		"Culture":                           -8.953575,
-		"Culture=":                          -9.241257,
-		"Current":                           -10.339870,
-		"CurrentBuildDateStamp":             -9.646723,
-		"CurrentBuildDir":                   -9.241257,
-		"CurrentProject":                    -10.339870,
-		"CurrentUser":                       -10.339870,
-		"CxC":                               -10.339870,
-		"CyXMw":                             -10.339870,
-		"D":                                 -7.395431,
-		"D.gml":                             -10.339870,
-		"DA":                                -9.646723,
-		"DBzumGHJAQ":                        -10.339870,
-		"DCiF":                              -10.339870,
-		"DDY":                               -10.339870,
-		"DEBUG":                             -8.953575,
-		"DF":                                -10.339870,
-		"DGcYROKR":                          -10.339870,
-		"DHgTsDrjqEfzWhZz":                  -10.339870,
-		"DICTIONARY":                        -9.241257,
-		"DISCOVER":                          -10.339870,
-		"DInstances.gml":                    -10.339870,
-		"DK":                                -10.339870,
-		"DLYhwPU":                           -10.339870,
-		"DLwIOYb":                           -10.339870,
-		"DMBDsp/hHDxQcOFBwwMAgwMDEIKAgoCAgUqBhqH": -10.339870,
-		"DMTyFYXHyPW/XVDiQt":                      -10.339870,
-		"DO":                                      -10.339870,
-		"DOUBLE":                                  -9.241257,
-		"DPLsfvqmLbdDuCYTACnMrPzlUKPABgfPBXfeBXvb": -10.339870,
-		"DPVhouJ":                          -10.339870,
-		"DQAw":                             -10.339870,
-		"DQQ":                              -10.339870,
-		"DS":                               -10.339870,
-		"DSound.gml":                       -9.646723,
-		"DTes":                             -10.339870,
-		"DU":                               -9.646723,
-		"DUEMgWEkIGATT":                    -10.339870,
-		"DVXz":                             -10.339870,
-		"DcefYT":                           -10.339870,
-		"DclzSa":                           -10.339870,
-		"Debug":                            -7.161816,
-		"Dec.":                             -10.339870,
-		"Default":                          -10.339870,
-		"DefaultTargets=":                  -7.854963,
-		"DeferredScheduler":                -10.339870,
-		"Degrees=":                         -10.339870,
-		"Delegate":                         -10.339870,
-		"Delivered":                        -10.339870,
-		"Dependencies":                     -9.646723,
-		"Dependency":                       -10.339870,
-		"DependsOnTargets=":                -9.646723,
-		"Designer":                         -8.730432,
-		"DestinationFiles=":                -9.241257,
-		"Determins":                        -9.646723,
-		"Deus":                             -9.646723,
-		"Device":                           -10.339870,
-		"DgTM":                             -10.339870,
-		"DhckMiKMQW/":                      -10.339870,
-		"DhsF":                             -10.339870,
-		"DiIOZYTzzEVxFMAk":                 -10.339870,
-		"DiNd":                             -10.339870,
-		"Directories=":                     -9.241257,
-		"Directory":                        -10.339870,
-		"Disable":                          -10.339870,
-		"DisableFastUpToDateCheck":         -10.339870,
-		"Disabled":                         -10.339870,
-		"Discard":                          -9.646723,
-		"Dispatcher":                       -9.241257,
-		"DispatcherScheduler":              -10.339870,
-		"DisplayList=":                     -9.646723,
-		"DisplayName=":                     -9.646723,
-		"DisplaySelectionView=":            -9.646723,
-		"DisplayStat=":                     -9.646723,
-		"Distance":                         -10.339870,
-		"Distributed":                      -10.339870,
-		"DjJJwtwLYNMEg":                    -10.339870,
-		"DkRaBTPW":                         -10.339870,
-		"Dkr":                              -10.339870,
-		"Dkw":                              -10.339870,
-		"DmOOBORacaakZwjQt":                -10.339870,
-		"DmgAAAABJRU":                      -10.339870,
-		"DoJCqsxFsr":                       -10.339870,
-		"Dock=":                            -10.339870,
-		"Donec":                            -9.646723,
-		"DqhhkHITU":                        -10.339870,
-		"Drawing":                          -9.646723,
-		"DtEqRriJh":                        -10.339870,
-		"DuBGdhSCY":                        -10.339870,
-		"Duration=":                        -8.730432,
-		"During":                           -10.339870,
-		"Dvs/yGQU":                         -10.339870,
-		"DwN":                              -10.339870,
-		"DwohPN":                           -10.339870,
-		"DxvyeEhGkL":                       -10.339870,
-		"Dyczrd":                           -10.339870,
-		"E":                                -7.204376,
-		"EAE8E5":                           -10.339870,
-		"EAOW":                             -10.339870,
-		"EAt":                              -10.339870,
-		"EB":                               -10.339870,
-		"EBAsrP":                           -10.339870,
-		"EBFB":                             -10.339870,
-		"EBJxplu":                          -10.339870,
-		"EBPJRG":                           -10.339870,
-		"ECEq":                             -10.339870,
-		"ECNTy":                            -10.339870,
-		"EDSCgB":                           -10.339870,
-		"EDTVProfile":                      -10.339870,
-		"EEBO":                             -8.730432,
-		"EED":                              -10.339870,
-		"EEDC":                             -10.339870,
-		"EF":                               -10.339870,
-		"EGa":                              -10.339870,
-		"EHI":                              -10.339870,
-		"EI":                               -10.339870,
-		"EJEtETL":                          -10.339870,
-		"EKMZ":                             -10.339870,
-		"ELARmTvRa":                        -10.339870,
-		"ELacEEAFzuQCqmDMD":                -10.339870,
-		"ELvd":                             -10.339870,
-		"ENRICH":                           -10.339870,
-		"ENTITY":                           -8.730432,
-		"ENVRG":                            -10.339870,
-		"EQAMVMZQzANAlQcUfvUpePBlrRgUD":    -10.339870,
-		"EQEC":                             -10.339870,
-		"EQPKPgPU":                         -10.339870,
-		"EQVR":                             -8.037285,
-		"ESfRdb":                           -10.339870,
-		"EU":                               -10.339870,
-		"EXPRESS":                          -10.339870,
-		"EYRiPlPfdooQhMJ":                  -10.339870,
-		"Each":                             -10.339870,
-		"Ead":                              -10.339870,
-		"EbBnLm":                           -10.339870,
-		"Edge":                             -10.339870,
-		"EdgeNavigation":                   -10.339870,
-		"EdgeNavigator":                    -10.339870,
-		"EgDRNmk":                          -10.339870,
-		"EgSjaQs":                          -10.339870,
-		"EhtMdsFIHujZ":                     -10.339870,
-		"EhuEMI":                           -10.339870,
-		"Ehul":                             -10.339870,
-		"Ei":                               -10.339870,
-		"Elements":                         -10.339870,
-		"Eli":                              -10.339870,
-		"Email":                            -10.339870,
-		"EnNR":                             -10.339870,
-		"Enabled=":                         -7.941974,
-		"Enables":                          -9.646723,
-		"Encoding":                         -9.646723,
-		"England":                          -9.241257,
-		"English":                          -9.646723,
-		"EnrjHnjB":                         -10.339870,
-		"Enrollment":                       -8.730432,
-		"Ensure":                           -10.339870,
-		"Entry":                            -9.646723,
-		"Eo":                               -10.339870,
-		"Epidoc":                           -10.339870,
-		"EqhJHfMGbAsYdIgORkLjFUaNXENpCcE":  -10.339870,
-		"ErZYNvvLeEplAU":                   -10.339870,
-		"Eric":                             -10.339870,
-		"ErkJggg":                          -8.730432,
-		"EsFChVpZ":                         -10.339870,
-		"EsLye":                            -10.339870,
-		"Esc":                              -9.646723,
-		"Estate":                           -10.339870,
-		"Et":                               -10.339870,
-		"Ets":                              -10.339870,
-		"EuluS":                            -10.339870,
-		"European":                         -10.339870,
-		"Evaluates":                        -10.339870,
-		"Evi":                              -10.339870,
-		"EvzuinJYiBfBty":                   -10.339870,
-		"Ex":                               -10.339870,
-		"Example":                          -10.339870,
-		"Exception":                        -10.339870,
-		"Exclude=":                         -9.646723,
-		"Exe":                              -8.953575,
-		"Expression":                       -8.393960,
-		"Ez":                               -10.339870,
-		"F":                                -8.953575,
-		"F#":                               -10.339870,
-		"F44E3C":                           -9.241257,
-		"FFFFFF":                           -7.700812,
-		"FIXED":                            -10.339870,
-		"FLORAL":                           -10.339870,
-		"FPI":                              -10.339870,
-		"FPSController_alarm":              -9.646723,
-		"FPSController_create":             -10.339870,
-		"FPSController_create.gml":         -10.339870,
-		"FPSController_step":               -10.339870,
-		"FPSController_step.gml":           -10.339870,
-		"FREE":                             -10.339870,
-		"FSharp":                           -9.646723,
-		"F_Speed.gml":                      -10.339870,
-		"Fabric":                           -8.953575,
-		"False":                            -9.646723,
-		"Feb.":                             -10.339870,
-		"Fields":                           -10.339870,
-		"FileFormatDefault":                -10.339870,
-		"Files":                            -8.037285,
-		"Files=":                           -9.241257,
-		"Fires":                            -7.700812,
-		"FlEjmDpA":                         -10.339870,
-		"Flags=":                           -10.339870,
-		"Foakes":                           -10.339870,
-		"For":                              -9.241257,
-		"FrakturType":                      -10.339870,
-		"Framework":                        -8.393960,
-		"FreeMedForms":                     -10.339870,
-		"FreeMedForms.":                    -10.339870,
-		"Freepik":                          -10.339870,
-		"FromPath=":                        -10.339870,
-		"Functions":                        -9.241257,
-		"FxD":                              -10.339870,
-		"G":                                -8.260428,
-		"G/":                               -10.339870,
-		"GBlnZkG":                          -10.339870,
-		"GCC":                              -9.646723,
-		"GGDLmu/htRhtEBBruGRgqi":           -10.339870,
-		"GMO_colorFilter_shader.shader":    -10.339870,
-		"GMO_disableZBuffer.gml":           -10.339870,
-		"GMO_draw":                         -10.339870,
-		"GMO_drawCameraSurface.gml":        -10.339870,
-		"GMO_enableZBuffer.gml":            -10.339870,
-		"GMO_followObject.gml":             -10.339870,
-		"GMO_getCameraAngle.gml":           -10.339870,
-		"GMO_getCameraMode.gml":            -10.339870,
-		"GMO_getCameraSurface.gml":         -10.339870,
-		"GMO_getCameraZFar.gml":            -10.339870,
-		"GMO_getCameraZNear.gml":           -10.339870,
-		"GMO_getIPD":                       -9.241257,
-		"GMO_getIPD.gml":                   -10.339870,
-		"GMO_getResolutionHeight":          -10.339870,
-		"GMO_getResolutionHeight.gml":      -10.339870,
-		"GMO_getResolutionWidth":           -10.339870,
-		"GMO_getResolutionWidth.gml":       -10.339870,
-		"GMO_getSurface.gml":               -10.339870,
-		"GMO_getUse":                       -10.339870,
-		"GMO_getVersion.gml":               -10.339870,
-		"GMO_getVersionString":             -10.339870,
-		"GMO_getVersionString.gml":         -10.339870,
-		"GMO_hmdwarp_shader.shader":        -10.339870,
-		"GMO_init.gml":                     -10.339870,
-		"GMO_initCamera.gml":               -10.339870,
-		"GMO_initDefaults.gml":             -10.339870,
-		"GMO_initShaders.gml":              -10.339870,
-		"GMO_initSurfaces.gml":             -10.339870,
-		"GMO_renderAnaglyph.gml":           -10.339870,
-		"GMO_renderCamera.gml":             -10.339870,
-		"GMO_renderOculus.gml":             -10.339870,
-		"GMO_renderRegular.gml":            -10.339870,
-		"GMO_resetSurfaces.gml":            -10.339870,
-		"GMO_setCameraAngle.gml":           -10.339870,
-		"GMO_setCameraMode.gml":            -10.339870,
-		"GMO_setCameraRange.gml":           -10.339870,
-		"GMO_setIPD":                       -9.646723,
-		"GMO_setIPD.gml":                   -10.339870,
-		"GMO_setResolution.gml":            -10.339870,
-		"GMO_setUse":                       -10.339870,
-		"GMO_updateListener.gml":           -10.339870,
-		"GMOculus.dll":                     -9.646723,
-		"GPLv":                             -10.339870,
-		"GR_cmToPixel.gml":                 -10.339870,
-		"GR_init.gml":                      -10.339870,
-		"GR_meterToPixel.gml":              -10.339870,
-		"GRlgqeqrk":                        -10.339870,
-		"GS":                               -10.339870,
-		"GScsqdxXrWUpBWzn":                 -10.339870,
-		"GSct":                             -10.339870,
-		"GUm":                              -10.339870,
-		"GV/W":                             -10.339870,
-		"GXD":                              -10.339870,
-		"GXSvZQnCKJOu":                     -10.339870,
-		"GXj/K":                            -10.339870,
-		"GZ":                               -10.339870,
-		"GZSWBMscVAJhjDDCTBYjAmOUTQfUysQ":  -10.339870,
-		"Gales":                            -9.646723,
-		"GbA":                              -10.339870,
-		"Gd":                               -10.339870,
-		"GetFieldNameForProperty":          -10.339870,
-		"GetFieldNameForPropertyNameFunc.": -10.339870,
-		"GgI":                              -10.339870,
-		"GgyQnqOl":                         -10.339870,
-		"GhB/pxvXNxBYq/":                   -10.339870,
-		"GhwkVwyFRABvKbEkKvfI":             -10.339870,
-		"GiJXDaNvAXzsD":                    -10.339870,
-		"Given":                            -9.241257,
-		"GjFhB":                            -10.339870,
-		"GktTQOGSHcvMA":                    -10.339870,
-		"Gl":                               -10.339870,
-		"GlTjly":                           -10.339870,
-		"GlbfnRMDgTj":                      -10.339870,
-		"GmvtY":                            -10.339870,
-		"GoROT":                            -10.339870,
-		"God":                              -9.646723,
-		"GosOJ":                            -10.339870,
-		"Graph":                            -10.339870,
-		"Guidelines":                       -9.241257,
-		"GwlqLObCpk":                       -10.339870,
-		"Gx":                               -10.339870,
-		"GxIBDfvxeOE":                      -10.339870,
-		"Gy":                               -10.339870,
-		"Gyn":                              -10.339870,
-		"GzVqDFvljl":                       -10.339870,
-		"GzlyWGJcdEnEiIYHS":                -10.339870,
-		"H":                                -7.631820,
-		"H/JQyloC/bHK":                     -10.339870,
-		"HAS_SENT_TIME_BIT":                -9.646723,
-		"HB":                               -10.339870,
-		"HBgohM":                           -10.339870,
-		"HBocGoBIpyyoqQnSas":               -10.339870,
-		"HBpLAxpiOZDGy":                    -10.339870,
-		"HCEKRIVMDKXuLDaGuOZwCp":           -10.339870,
-		"HCsvaVn":                          -10.339870,
-		"HEMIkfvkoy":                       -10.339870,
-		"HEoFIoE":                          -10.339870,
-		"HFCI":                             -10.339870,
-		"HFGT":                             -10.339870,
-		"HISmQtElmJrCUnLlWbZsWlwbHiEhAkrCEXREMQJEVsAoKkiE": -10.339870,
-		"HITSP_C":                               -10.339870,
-		"HKWVdDDpKstJB":                         -10.339870,
-		"HPe":                                   -10.339870,
-		"HPeZZtknXG":                            -10.339870,
-		"HQiyBoNdi":                             -10.339870,
-		"HSSvSkC":                               -10.339870,
-		"HTQKkuZByK":                            -10.339870,
-		"HVBRKyPmhPKkCCT":                       -10.339870,
-		"HWwc":                                  -10.339870,
-		"HXDYIn":                                -10.339870,
-		"HYSkZYnnFjQ":                           -10.339870,
-		"HYSl":                                  -10.339870,
-		"Haq":                                   -10.339870,
-		"HcY":                                   -10.339870,
-		"HctIlWNtqQfZM":                         -10.339870,
-		"HdWjfNUiYgtxtyiYDb":                    -10.339870,
-		"HeadOpts":                              -9.646723,
-		"Header":                                -9.646723,
-		"Header*":                               -10.339870,
-		"Height=":                               -8.037285,
-		"Hej":                                   -10.339870,
-		"Helvetica":                             -8.037285,
-		"Hence":                                 -10.339870,
-		"HgmWU":                                 -10.339870,
-		"Hh":                                    -9.646723,
-		"HiJH":                                  -10.339870,
-		"High":                                  -10.339870,
-		"HitTestMode=":                          -10.339870,
-		"HjH":                                   -10.339870,
-		"HkSedItDym/ET":                         -10.339870,
-		"HlFFVCq":                               -10.339870,
-		"HlzMjxaXq":                             -10.339870,
-		"Hm":                                    -10.339870,
-		"Hn":                                    -10.339870,
-		"HnM":                                   -10.339870,
-		"HnVVe":                                 -10.339870,
-		"HoKyU":                                 -10.339870,
-		"HonlgLg":                               -10.339870,
-		"House":                                 -10.339870,
-		"However":                               -10.339870,
-		"HqlUFGq":                               -10.339870,
-		"HqqnFP":                                -10.339870,
-		"Hss/n":                                 -10.339870,
-		"HtXHSclggU":                            -10.339870,
-		"Hugh":                                  -9.646723,
-		"HvQfiMy":                               -10.339870,
-		"HwRvXDd":                               -10.339870,
-		"HwekVMxhzErtHWK":                       -10.339870,
-		"HxEp":                                  -10.339870,
-		"HxtPZUAlk":                             -10.339870,
-		"I":                                     -7.774920,
-		"I/Gk":                                  -10.339870,
-		"I/KnVZfvMF":                            -10.339870,
-		"I/u":                                   -10.339870,
-		"I18n":                                  -9.241257,
-		"IACg":                                  -10.339870,
-		"IAF":                                   -10.339870,
-		"IAnGoU":                                -10.339870,
-		"IBRQ/":                                 -10.339870,
-		"ICxeva/qqN":                            -10.339870,
-		"ID=":                                   -7.204376,
-		"IDzBS":                                 -10.339870,
-		"IECgwKDAwYlc":                          -10.339870,
-		"IEEwWeBdK":                             -10.339870,
-		"IEJxJ":                                 -10.339870,
-		"IEnableLogger":                         -10.339870,
-		"IFAYamrzNvN":                           -10.339870,
-		"IFICItUkqgKiKiBSDCo":                   -10.339870,
-		"IFe":                                   -10.339870,
-		"IGbE":                                  -10.339870,
-		"IHd":                                   -10.339870,
-		"IHofe":                                 -10.339870,
-		"IJIDLNkg":                              -10.339870,
-		"IMPORTANT":                             -10.339870,
-		"IMTtCN":                                -10.339870,
-		"IMessageBus":                           -10.339870,
-		"IN":                                    -10.339870,
-		"INCLUDE":                               -8.260428,
-		"INTEGER":                               -9.241257,
-		"INotifyPropertyChanged":                -10.339870,
-		"INotifyPropertyChanged.":               -10.339870,
-		"IObservedChange":                       -8.730432,
-		"IPC":                                   -7.395431,
-		"IReactiveCollection":                   -9.241257,
-		"IReactiveNotifyPropertyChanged":        -8.548110,
-		"IReactiveNotifyPropertyChanged.":       -8.953575,
-		"ITALIC":                                -10.339870,
-		"ITCJA":                                 -10.339870,
-		"ITXSUxwr":                              -10.339870,
-		"IVDaj":                                 -10.339870,
-		"IVoIOZ":                                -10.339870,
-		"IXlhQKsuae":                            -10.339870,
-		"IYkXcRKiuBBgGvNUGfYF":                  -10.339870,
-		"IZC":                                   -10.339870,
-		"Ib":                                    -9.646723,
-		"IcLAu":                                 -10.339870,
-		"Icon":                                  -10.339870,
-		"Id":                                    -10.339870,
-		"Id=":                                   -8.953575,
-		"IdRePf":                                -10.339870,
-		"If":                                    -8.142645,
-		"IfDqAfK":                               -10.339870,
-		"IfW":                                   -10.339870,
-		"IhgrYnu":                               -10.339870,
-		"IiD":                                   -10.339870,
-		"IkakcGplXcRE":                          -10.339870,
-		"IlF":                                   -10.339870,
-		"IlFqxQzwX":                             -10.339870,
-		"IlJEoKoiiAksNiJSASimIlIACgwKDAoOB":     -10.339870,
-		"Illegal":                               -10.339870,
-		"Illus.":                                -10.339870,
-		"Immediate":                             -10.339870,
-		"In":                                    -8.037285,
-		"InUnitTestRunner":                      -10.339870,
-		"InapeW":                                -10.339870,
-		"Include=":                              -5.511556,
-		"Ingl":                                  -9.646723,
-		"Inglaterra":                            -9.646723,
-		"Initiative":                            -9.646723,
-		"InsOaWQhGZTI":                          -10.339870,
-		"Instability":                           -10.339870,
-		"Installed":                             -10.339870,
-		"Interface":                             -10.339870,
-		"Interview":                             -10.339870,
-		"Invalidate":                            -9.646723,
-		"IoENAaotyr":                            -10.339870,
-		"IoabR":                                 -10.339870,
-		"IpU":                                   -10.339870,
-		"Ipsum":                                 -8.260428,
-		"Iq":                                    -10.339870,
-		"IqFlo":                                 -10.339870,
-		"IqWLPcBapaiWiBEtVzTpjsVK":              -10.339870,
-		"Ireland":                               -9.646723,
-		"Irlanda":                               -9.646723,
-		"Irto":                                  -10.339870,
-		"IsAotleqCCDcUZ":                        -10.339870,
-		"IsCriticalRule=":                       -9.646723,
-		"IsDataSet":                             -10.339870,
-		"IslQELiLQiEAiFhKxYkTFiBURJ":            -10.339870,
-		"Issues":                                -10.339870,
-		"It":                                    -8.730432,
-		"Item":                                  -8.953575,
-		"ItemChanged":                           -9.646723,
-		"ItemChanging":                          -9.646723,
-		"ItemChanging/ItemChanged.":             -9.646723,
-		"ItemType=":                             -10.339870,
-		"ItlxjPpCIs":                            -10.339870,
-		"ItuenbbXPPueect":                       -10.339870,
-		"IuSNAAAAAElFTkSuQmCC":                  -10.339870,
-		"Iv":                                    -10.339870,
-		"IvDERYR/":                              -10.339870,
-		"IxD":                                   -10.339870,
-		"Ixuv":                                  -10.339870,
-		"Izs":                                   -10.339870,
-		"J":                                     -7.941974,
-		"J//RyOiWy":                             -10.339870,
-		"J/m":                                   -10.339870,
-		"JACKET":                                -10.339870,
-		"JAIwbIihZRkrAls":                       -10.339870,
-		"JCoc":                                  -10.339870,
-		"JENSEN":                                -10.339870,
-		"JEOCIKPXFQGVmbvzI":                     -10.339870,
-		"JF":                                    -10.339870,
-		"JFoH":                                  -10.339870,
-		"JJKC":                                  -10.339870,
-		"JK":                                    -10.339870,
-		"JKM":                                   -10.339870,
-		"JKoCLCsgkg":                            -10.339870,
-		"JLCnrXHAAAAAElFTkSuQmCC":               -10.339870,
-		"JLRoddOBLv":                            -10.339870,
-		"JMg":                                   -10.339870,
-		"JONES":                                 -10.339870,
-		"JP/K":                                  -10.339870,
-		"JRCXfeUiu":                             -10.339870,
-		"JRJZ":                                  -10.339870,
-		"JRvClN":                                -10.339870,
-		"JSAyfWh":                               -10.339870,
-		"JSNJ":                                  -10.339870,
-		"JUwGIlSd":                              -10.339870,
-		"JaRCbhCGhZwGVu":                        -10.339870,
-		"Jan.":                                  -10.339870,
-		"January":                               -10.339870,
-		"JbAN":                                  -10.339870,
-		"JbprAOCdh":                             -10.339870,
-		"Jbz":                                   -10.339870,
-		"JcAAAAASUVORK":                         -9.646723,
-		"JcTzCEGH":                              -10.339870,
-		"JcaICWWtgAc":                           -10.339870,
-		"JdODL/ilx":                             -10.339870,
-		"JdWu":                                  -10.339870,
-		"Je/XWD":                                -10.339870,
-		"JeRlbMZMf":                             -10.339870,
-		"JgwigMSJ":                              -10.339870,
-		"JifI":                                  -10.339870,
-		"JkwMZqhrS":                             -10.339870,
-		"JlYXSJTquTcHmRC/MZVRN":                 -10.339870,
-		"JlbodjYZ":                              -10.339870,
-		"JnMeH":                                 -10.339870,
-		"JnaxSV":                                -10.339870,
-		"JogLgCFNACM":                           -10.339870,
-		"JqHaU":                                 -10.339870,
-		"JqqKt/O":                               -10.339870,
-		"JuNSNhQiPNK":                           -10.339870,
-		"July":                                  -10.339870,
-		"June":                                  -10.339870,
-		"JustMyCode":                            -9.646723,
-		"Jz":                                    -9.241257,
-		"K":                                     -8.142645,
-		"K/ZgByVm":                              -10.339870,
-		"KAAAAAElFTkSuQmCC":                     -10.339870,
-		"KBeJqP":                                -10.339870,
-		"KDJ":                                   -10.339870,
-		"KDiltqllAdnwX":                         -10.339870,
-		"KEd":                                   -10.339870,
-		"KFYzZNkWMNA":                           -10.339870,
-		"KFhZI":                                 -10.339870,
-		"KFu":                                   -10.339870,
-		"KGgoAAAANSUhEUgAAACAAAAAQCAYAAAB":      -6.938672,
-		"KJGiFTO":                               -10.339870,
-		"KK":                                    -10.339870,
-		"KKnmhjvvi":                             -10.339870,
-		"KKsFOrFJZAZdovslLP":                    -10.339870,
-		"KN/B":                                  -10.339870,
-		"KNhtYlUhZKnueAeAC":                     -10.339870,
-		"KTAAAAABJRU":                           -10.339870,
-		"KWVRzJT/":                              -10.339870,
-		"KXJ":                                   -10.339870,
-		"KXQ":                                   -10.339870,
-		"KYA":                                   -10.339870,
-		"KYRNukSmslomP":                         -10.339870,
-		"KYeJM":                                 -10.339870,
-		"KcW":                                   -10.339870,
-		"KdT/kd/":                               -10.339870,
-		"KeepHistoric=":                         -10.339870,
-		"KeepXmlFiles=":                         -10.339870,
-		"KfGj":                                  -10.339870,
-		"KgYAZrHGw":                             -10.339870,
-		"Kind=":                                 -10.339870,
-		"Kingdom":                               -9.646723,
-		"Kiq":                                   -10.339870,
-		"Km/":                                   -10.339870,
-		"KnUfJ":                                 -10.339870,
-		"KpqydGpBAapTIF":                        -10.339870,
-		"KqxEIjHJvNufUpSfIGT":                   -10.339870,
-		"KriG":                                  -10.339870,
-		"Krsi":                                  -10.339870,
-		"KtV":                                   -10.339870,
-		"Ktk":                                   -10.339870,
-		"KtrKzEVlYikVgkshKLZMUmjBmBaAiChIomVJC": -10.339870,
-		"Ktyw":                                  -10.339870,
-		"Kw":                                    -10.339870,
-		"KwCMHNaVe":                             -10.339870,
-		"L":                                     -10.339870,
-		"LABEL":                                 -10.339870,
-		"LIST":                                  -9.241257,
-		"LMukLu":                                -10.339870,
-		"LSPEtO":                                -10.339870,
-		"LaTeX":                                 -10.339870,
-		"Label=":                                -7.631820,
-		"Language":                              -10.339870,
-		"Language=":                             -10.339870,
-		"LayoutMaster":                          -10.339870,
-		"LayoutMaster=":                         -10.339870,
-		"Left":                                  -10.339870,
-		"Level":                                 -8.037285,
-		"Library":                               -9.646723,
-		"License":                               -9.646723,
-		"Linker":                                -8.953575,
-		"Listen":                                -8.953575,
-		"Lite":                                  -9.646723,
-		"LjjH":                                  -10.339870,
-		"Log":                                   -9.241257,
-		"Logs":                                  -10.339870,
-		"Lorem":                                 -8.142645,
-		"Low":                                   -10.339870,
-		"LwbsCqFOnMoaDvKgWEtF":                  -10.339870,
-		"M":                                     -8.142645,
-		"M/fmmY/aZwbXGzMlmtMdWBUWIm":            -10.339870,
-		"M/vRlIM":                               -10.339870,
-		"MAEKER":                                -10.339870,
-		"MAeQ":                                  -10.339870,
-		"MAx":                                   -10.339870,
-		"MD":                                    -10.339870,
-		"MDM":                                   -8.142645,
-		"MEIW":                                  -10.339870,
-		"METHODS":                               -10.339870,
-		"MFBn":                                  -10.339870,
-		"MHQwEKjKjqWgkgpqFSTgoCAAEs":            -10.339870,
-		"MK":                                    -10.339870,
-		"ML":                                    -10.339870,
-		"MLA":                                   -9.646723,
-		"MLHyAKwAAAAAElFTkSuQmCC":               -10.339870,
-		"MMgIsyk/DkSFgiswqYDgDPhFi":             -10.339870,
-		"MODIFIED=":                             -7.204376,
-		"MP":                                    -10.339870,
-		"MPF":                                   -10.339870,
-		"MPZB":                                  -10.339870,
-		"MQ":                                    -10.339870,
-		"MRU":                                   -10.339870,
-		"MS":                                    -10.339870,
-		"MSBuildExtensionsPath":                 -9.241257,
-		"MSBuildProjectDirectory":               -10.339870,
-		"MT":                                    -10.339870,
-		"MTpSUI":                                -10.339870,
-		"MYEbsRExSMLxf/VTaHLUU":                 -10.339870,
-		"MZPoU":                                 -10.339870,
-		"Made":                                  -10.339870,
-		"MainWindow":                            -9.646723,
-		"Make":                                  -9.646723,
-		"MakeObjectReactiveHelper.":             -10.339870,
-		"ManagedCProj":                          -10.339870,
-		"Management":                            -10.339870,
-		"Manual":                                -10.339870,
-		"Mar.":                                  -10.339870,
-		"Margin=":                               -10.339870,
-		"Martin":                                -9.646723,
-		"May":                                   -10.339870,
-		"Message":                               -6.972574,
-		"MessageBus":                            -9.241257,
-		"MessageBus.Current.":                   -10.339870,
-		"Methods":                               -10.339870,
-		"Metric":                                -10.339870,
-		"Metrics":                               -9.241257,
-		"MfSfR":                                 -10.339870,
-		"Mg":                                    -10.339870,
-		"MgPHnCdltM":                            -10.339870,
-		"Mh":                                    -10.339870,
-		"Michigan":                              -10.339870,
-		"Microsoft":                             -7.854963,
-		"Microsoft.CSharp":                      -10.339870,
-		"Microsoft.FSharp.Targets":              -9.646723,
-		"Microsoft.NET":                         -9.646723,
-		"Microsoft.Vsix.TemplatesPackage":       -10.339870,
-		"Microsoft.Vsix.TemplatesPackage.VsixWizard": -10.339870,
-		"Mj":                                -10.339870,
-		"MjI":                               -9.646723,
-		"MjKyNjKyMjI":                       -10.339870,
-		"Mobile":                            -10.339870,
-		"Model":                             -10.339870,
-		"Modern":                            -10.339870,
-		"MrIyMjYyaoSJEepSUWhEoc":            -10.339870,
-		"MtkLkscJA":                         -10.339870,
-		"MtplSJz":                           -10.339870,
-		"Mueller":                           -10.339870,
-		"MulN":                              -10.339870,
-		"MvAem":                             -10.339870,
-		"MvBeniWG/Ui":                       -10.339870,
-		"Mw":                                -10.339870,
-		"MxR":                               -10.339870,
-		"My":                                -9.646723,
-		"My.Resources":                      -10.339870,
-		"My.Web":                            -9.646723,
-		"MyApplicationCodeGenerator":        -10.339870,
-		"MyCommon":                          -10.339870,
-		"MyDef":                             -9.646723,
-		"MyqhWwJVIKqL":                      -10.339870,
-		"Mz":                                -10.339870,
-		"N":                                 -8.260428,
-		"N//pruzkdyik":                      -10.339870,
-		"NAME=":                             -7.161816,
-		"NAcdZIcna":                         -10.339870,
-		"NAw":                               -10.339870,
-		"NB":                                -10.339870,
-		"NCL3":                              -9.646723,
-		"NCL30EDTV":                         -10.339870,
-		"NCTY":                              -10.339870,
-		"NCV":                               -10.339870,
-		"NDEBUG":                            -10.339870,
-		"NDQ":                               -10.339870,
-		"NDXrKcjT/fcF":                      -10.339870,
-		"NDaysAgo=":                         -9.646723,
-		"NDw":                               -10.339870,
-		"NET":                               -10.339870,
-		"NEoWQQ":                            -10.339870,
-		"NJ":                                -10.339870,
-		"NJe":                               -10.339870,
-		"NKcDAx/":                           -10.339870,
-		"NMC":                               -10.339870,
-		"NONE":                              -9.646723,
-		"NOT":                               -10.339870,
-		"NOTE":                              -10.339870,
-		"NOW":                               -7.941974,
-		"NQuGEUAn":                          -10.339870,
-		"NQwMLCy":                           -10.339870,
-		"NUaV":                              -10.339870,
-		"NWFV/":                             -10.339870,
-		"NZAthyg/ppjL":                      -10.339870,
-		"NZDhNpDw":                          -10.339870,
-		"NZU":                               -10.339870,
-		"Name":                              -8.037285,
-		"Name=":                             -5.983161,
-		"Namespace":                         -9.646723,
-		"Namespaces=":                       -10.339870,
-		"Nascetur":                          -9.646723,
-		"NdoO":                              -10.339870,
-		"NeZMZ":                             -10.339870,
-		"Nemerle":                           -8.953575,
-		"Nemerle.Linq.dll":                  -10.339870,
-		"Nemerle.dll":                       -10.339870,
-		"NemerleBinPathRoot":                -9.646723,
-		"NemerleVersion":                    -10.339870,
-		"Net":                               -10.339870,
-		"New":                               -9.646723,
-		"New_Case_Created_Email_Alert":      -9.646723,
-		"NgIoOfAdGRyCxQEpX":                 -10.339870,
-		"NgeNzJkQSbDcWdT":                   -10.339870,
-		"NiHGmWPfleBxKpCWCxOHxN":            -10.339870,
-		"NizM":                              -10.339870,
-		"Nj":                                -10.339870,
-		"Nln":                               -10.339870,
-		"NlvuRbJ":                           -10.339870,
-		"NmJsdGokyH":                        -10.339870,
-		"NoZtBbcve":                         -10.339870,
-		"None":                              -10.339870,
-		"Normal":                            -10.339870,
-		"Norte":                             -9.646723,
-		"Northern":                          -9.646723,
-		"Northwestern":                      -10.339870,
-		"NotCcDuD":                          -10.339870,
-		"Note":                              -8.260428,
-		"Nov.":                              -10.339870,
-		"November":                          -10.339870,
-		"Now.ToString":                      -9.646723,
-		"Np":                                -10.339870,
-		"NuGet.VisualStudio.Interop":        -10.339870,
-		"NuGet.VisualStudio.TemplateWizard": -10.339870,
-		"NuHdKd":                            -10.339870,
-		"Nullam":                            -10.339870,
-		"NuqUcy":                            -10.339870,
-		"NvH":                               -10.339870,
-		"NvU":                               -10.339870,
-		"NxJEHFVf":                          -10.339870,
-		"NylER":                             -10.339870,
-		"NymBS/R":                           -10.339870,
-		"NzPE/POEHIopWbukFiQ/sztybgUHsM":    -10.339870,
-		"NzYPLAPSGAiRI":                     -10.339870,
-		"NzmSyXt":                           -10.339870,
-		"O":                                 -8.393960,
-		"O/":                                -10.339870,
-		"OAPH":                              -9.646723,
-		"OASIS/CALS":                        -10.339870,
-		"OAZGut":                            -10.339870,
-		"OBU":                               -10.339870,
-		"OCaml":                             -10.339870,
-		"ODEIFVy":                           -10.339870,
-		"ODFpGKJl":                          -10.339870,
-		"ODIMygeVCCcppBS":                   -10.339870,
-		"OEpZWg":                            -10.339870,
-		"OFFER":                             -10.339870,
-		"OHBlxVgCd":                         -10.339870,
-		"OHEILZyRvb":                        -10.339870,
-		"OI":                                -10.339870,
-		"OIpVAAAAAElFTkSuQmCC":              -10.339870,
-		"OIz":                               -10.339870,
-		"OKHw":                              -10.339870,
-		"OKOL":                              -10.339870,
-		"OLQGSIvDRArM":                      -10.339870,
-		"OM":                                -10.339870,
-		"OMfgSSLUIH":                        -10.339870,
-		"OMpBdDYVJCqbhJHHdmT":               -10.339870,
-		"ON":                                -10.339870,
-		"ONJNwjAbUd":                        -10.339870,
-		"OP":                                -9.646723,
-		"OPMqWngzO":                         -10.339870,
-		"OQBzW":                             -10.339870,
-		"OQizxvEvpxI":                       -10.339870,
-		"OR":                                -10.339870,
-		"ORDER":                             -10.339870,
-		"OTLF":                              -10.339870,
-		"OUR":                               -10.339870,
-		"OVER":                              -10.339870,
-		"OVR_Device_getPitch.gml":           -10.339870,
-		"OVR_Device_getRoll.gml":            -10.339870,
-		"OVR_Device_getYaw.gml":             -10.339870,
-		"OVR_Device_init.gml":               -10.339870,
-		"OVR_Init.gml":                      -10.339870,
-		"OVR_beginFrame.gml":                -10.339870,
-		"OVR_endFrame.gml":                  -10.339870,
-		"OVR_getEyePos.gml":                 -10.339870,
-		"OVR_getHMDName.gml":                -10.339870,
-		"OVR_getVersion.gml":                -10.339870,
-		"OVR_linkWindowHandle.gml":          -10.339870,
-		"OW":                                -10.339870,
-		"OYmbdKJe":                          -10.339870,
-		"OYob":                              -10.339870,
-		"OZQUe":                             -10.339870,
-		"Oazv":                              -10.339870,
-		"Ob":                                -10.339870,
-		"Ob/V":                              -10.339870,
-		"ObmQpJyEgGKoMqITlXAXssbfYCEQeysztkWMoPcXTniLCxUqz": -10.339870,
-		"Observable":                             -6.314518,
-		"Observable.":                            -8.548110,
-		"Observable.Return":                      -10.339870,
-		"ObservableAsPropertyHelper":             -8.548110,
-		"ObservableAsyncMRUCache":                -9.646723,
-		"ObservableAsyncMRUCache.":               -10.339870,
-		"ObservableAsyncMRUCache.AsyncGet":       -10.339870,
-		"ObservableForProperty":                  -7.700812,
-		"ObservableForProperty.":                 -10.339870,
-		"ObservableToProperty":                   -10.339870,
-		"Observables":                            -8.953575,
-		"Observables.":                           -9.646723,
-		"Oc":                                     -10.339870,
-		"Oct.":                                   -10.339870,
-		"Off":                                    -10.339870,
-		"OfwC":                                   -10.339870,
-		"OhI":                                    -10.339870,
-		"OmPy":                                   -10.339870,
-		"On":                                     -9.646723,
-		"On/JFp":                                 -10.339870,
-		"OnLpNCe":                                -10.339870,
-		"OpenInEditor=":                          -10.339870,
-		"Opff":                                   -10.339870,
-		"OpwVXsQyPycPO":                          -10.339870,
-		"Order":                                  -10.339870,
-		"Ordinal":                                -8.548110,
-		"Other":                                  -8.730432,
-		"Ou":                                     -10.339870,
-		"Oue":                                    -10.339870,
-		"OutputPath":                             -10.339870,
-		"Owner":                                  -10.339870,
-		"Oxford":                                 -9.646723,
-		"OxoM":                                   -10.339870,
-		"OyCbdSRlmclBg":                          -10.339870,
-		"OzFhiOIpLAC":                            -10.339870,
-		"P":                                      -6.578670,
-		"PAYMENT":                                -10.339870,
-		"PBSyB":                                  -10.339870,
-		"PBnfLX":                                 -10.339870,
-		"PCDATA":                                 -10.339870,
-		"PCTkPxwUHFUf":                           -10.339870,
-		"PDZD":                                   -10.339870,
-		"PHA":                                    -10.339870,
-		"PI":                                     -10.339870,
-		"PJKO":                                   -10.339870,
-		"PK":                                     -10.339870,
-		"PLRHlGMznChKIcwiFTrlnTqxYkLbDG":         -10.339870,
-		"POIOHEBZ":                               -10.339870,
-		"POLO":                                   -10.339870,
-		"POQ":                                    -10.339870,
-		"POSITION=":                              -8.953575,
-		"PP":                                     -10.339870,
-		"PQ":                                     -10.339870,
-		"PQJbhwAB":                               -10.339870,
-		"PRIORITY_HIGH":                          -10.339870,
-		"PRIORITY_LOW":                           -10.339870,
-		"PRIORITY_MASK":                          -9.241257,
-		"PRIORITY_NORMAL":                        -10.339870,
-		"PROMO":                                  -10.339870,
-		"PRduvdsPiY":                             -10.339870,
-		"PS":                                     -10.339870,
-		"PToi":                                   -10.339870,
-		"PUMPING_MSGS_BIT":                       -9.646723,
-		"PUUhiJf":                                -10.339870,
-		"PUwti":                                  -10.339870,
-		"PVNc":                                   -10.339870,
-		"PW":                                     -10.339870,
-		"PXJsisYwgAJAVOAFv":                      -10.339870,
-		"PYwXYJI":                                -10.339870,
-		"Package":                                -9.241257,
-		"Package.ico":                            -10.339870,
-		"Page":                                   -10.339870,
-		"Pal":                                    -10.339870,
-		"Path=":                                  -10.339870,
-		"Patient":                                -10.339870,
-		"PbJUwiwr/AiHdeYi":                       -10.339870,
-		"PcC":                                    -10.339870,
-		"Pd":                                     -10.339870,
-		"Pdx":                                    -10.339870,
-		"Pg":                                     -10.339870,
-		"Phasellus":                              -8.730432,
-		"Phone":                                  -9.241257,
-		"PibMlUYsAJWE":                           -10.339870,
-		"PiuJI":                                  -10.339870,
-		"PjkzzFc":                                -10.339870,
-		"Pk/MdlVxTKwEe":                          -10.339870,
-		"Pk/f":                                   -10.339870,
-		"Pl":                                     -10.339870,
-		"PlRh":                                   -10.339870,
-		"PlaceholderText=":                       -10.339870,
-		"Platform":                               -7.395431,
-		"Platform=":                              -10.339870,
-		"PmV/TyS":                                -10.339870,
-		"Pn":                                     -10.339870,
-		"Pool":                                   -10.339870,
-		"Portugu":                                -9.646723,
-		"Portuguese":                             -9.646723,
-		"PqbvZKpRvueoCGpQFvj":                    -10.339870,
-		"Prefer":                                 -9.646723,
-		"Prefix":                                 -10.339870,
-		"Prefix=":                                -10.339870,
-		"PreprocessorDefinitions":                -9.646723,
-		"Privacy":                                -10.339870,
-		"PrmWtxRZ":                               -10.339870,
-		"PrmrLStEw":                              -10.339870,
-		"ProgramFiles":                           -10.339870,
-		"Project":                                -8.260428,
-		"Project=":                               -6.843362,
-		"ProjectFileToCompareWith=":              -9.646723,
-		"ProjectMode=":                           -9.646723,
-		"ProjectName":                            -10.339870,
-		"ProjectRoot":                            -7.941974,
-		"Projects=":                              -10.339870,
-		"Properties":                             -9.241257,
-		"Properties=":                            -10.339870,
-		"PropertyChangedEventArgs.":              -10.339870,
-		"PropertyGroup":                          -10.339870,
-		"Provides":                               -8.953575,
-		"PsVyErkvVwe":                            -10.339870,
-		"PtRFLZ/m":                               -10.339870,
-		"PtUBpnwDAT":                             -10.339870,
-		"PublicKeyToken":                         -8.953575,
-		"PublicKeyToken=":                        -9.241257,
-		"Publisher=":                             -10.339870,
-		"Pw":                                     -10.339870,
-		"PwTrQA":                                 -10.339870,
-		"PxqETx":                                 -10.339870,
-		"PxwTkBLD":                               -10.339870,
-		"Pytlik":                                 -10.339870,
-		"Pz":                                     -10.339870,
-		"Q":                                      -8.142645,
-		"QB":                                     -10.339870,
-		"QBhBRDtAHYCkHyAIATRZdO":                 -10.339870,
-		"QCUuWaYeS":                              -10.339870,
-		"QESF":                                   -10.339870,
-		"QG":                                     -10.339870,
-		"QGKvZwEPxCqMBPcvUoQ":                    -10.339870,
-		"QK":                                     -10.339870,
-		"QKCxJClrzD":                             -10.339870,
-		"QKEAIOEJSdru":                           -10.339870,
-		"QN":                                     -10.339870,
-		"QNNZCCfG":                               -10.339870,
-		"QPQMRgGHJOv":                            -10.339870,
-		"QRJJ":                                   -10.339870,
-		"QSW":                                    -10.339870,
-		"QUpIiB/WLQilRZXfgXuVziro":               -10.339870,
-		"QUwZ":                                   -10.339870,
-		"QVAnTTy":                                -10.339870,
-		"QWKx":                                   -10.339870,
-		"QWc":                                    -10.339870,
-		"QYGAd":                                  -10.339870,
-		"QYi":                                    -10.339870,
-		"Qa":                                     -10.339870,
-		"QgJylT":                                 -10.339870,
-		"QgQTRGUgk":                              -10.339870,
-		"QgVGT":                                  -10.339870,
-		"QgnopIehCtugaxe":                        -10.339870,
-		"QgsKjgLS":                               -10.339870,
-		"Qi":                                     -10.339870,
-		"Qiva":                                   -10.339870,
-		"QjWyZzfm":                               -10.339870,
-		"QjhTvExFIHoWTIFnhEUnMvxmMIqT":           -10.339870,
-		"Qkge/bJpVK":                             -10.339870,
-		"QldVvHmkBOGkbGzQrA":                     -10.339870,
-		"QmR/":                                   -10.339870,
-		"QnA":                                    -10.339870,
-		"QnEkPqKxFdN/":                           -10.339870,
-		"QoAdQcZoy":                              -10.339870,
-		"Qogdvj":                                 -10.339870,
-		"Qq":                                     -9.646723,
-		"QqRd":                                   -10.339870,
-		"QrWtPhHMADMHf":                          -10.339870,
-		"Qth":                                    -10.339870,
-		"Quality":                                -10.339870,
-		"Queen":                                  -9.646723,
-		"Query=":                                 -10.339870,
-		"Quisque":                                -8.393960,
-		"Qy":                                     -10.339870,
-		"QzFvE":                                  -10.339870,
-		"R":                                      -8.260428,
-		"R/CGHyk":                                -10.339870,
-		"RDCG":                                   -10.339870,
-		"RDfebgWJuWQw":                           -10.339870,
-		"REPLY_BIT":                              -9.646723,
-		"REPLY_ERROR_BIT":                        -9.646723,
-		"RETu":                                   -10.339870,
-		"REWqhvtok":                              -10.339870,
-		"RGOQ":                                   -10.339870,
-		"RK/chkiq":                               -10.339870,
-		"RKala":                                  -10.339870,
-		"RLlDdJGz":                               -10.339870,
-		"RMI":                                    -10.339870,
-		"ROJq":                                   -10.339870,
-		"RPB":                                    -10.339870,
-		"RQVTpIJWChgoKCgYGBgYGNh":                -10.339870,
-		"RQreCCNHw":                              -10.339870,
-		"RRQrgix/":                               -10.339870,
-		"RRuQAqOmDzkCSjdKy":                      -10.339870,
-		"RSkPQMghld":                             -10.339870,
-		"RWPln":                                  -10.339870,
-		"Rahtz":                                  -10.339870,
-		"Rainha":                                 -9.646723,
-		"RaiseAndSetIfChanged":                   -9.646723,
-		"RaisePropertyChanged":                   -9.646723,
-		"RaisePropertyChanging":                  -9.646723,
-		"Re":                                     -10.339870,
-		"ReactiveCollection":                     -10.339870,
-		"ReactiveCollection.":                    -10.339870,
-		"ReactiveObject":                         -7.941974,
-		"ReactiveObject.":                        -10.339870,
-		"ReactiveUI":                             -9.646723,
-		"Real":                                   -10.339870,
-		"RealEstate":                             -10.339870,
-		"Rec":                                    -9.646723,
-		"Redistribution":                         -10.339870,
-		"Redistributions":                        -9.646723,
-		"RefCount":                               -10.339870,
-		"RefCountedBase*":                        -9.646723,
-		"Reference":                              -10.339870,
-		"RegisterMessageSource":                  -8.953575,
-		"Registers":                              -9.241257,
-		"Reino":                                  -9.646723,
-		"RelativeNode":                           -10.339870,
-		"RelativeNode=":                          -10.339870,
-		"RelativeTo":                             -8.953575,
-		"RelativeTo=":                            -8.730432,
-		"Release":                                -7.567281,
-		"ReplaceParameters=":                     -8.953575,
-		"Represents":                             -8.953575,
-		"ResX":                                   -10.339870,
-		"ResXResourceReader":                     -10.339870,
-		"ResXResourceWriter":                     -10.339870,
-		"Resource":                               -9.646723,
-		"Resources":                              -9.241257,
-		"Resources.Designer.vb":                  -10.339870,
-		"Resources.resx":                         -10.339870,
-		"Return":                                 -10.339870,
-		"Returns":                                -8.730432,
-		"Rev":                                    -10.339870,
-		"RfOuPi":                                 -10.339870,
-		"RgEGCpAZEcUCkFAQYFhgYHDI":               -10.339870,
-		"Rhd":                                    -10.339870,
-		"RhhAiPhCQB":                             -10.339870,
-		"RiftSharpDll.dll":                       -10.339870,
-		"RjQmcGdtCAcrLAsxjYTZ":                   -10.339870,
-		"RkPgPqaJtCHtPDCryTjYjqnzSxkUFqOn":       -10.339870,
-		"RkR":                                    -10.339870,
-		"RmwqJjUkQzi":                            -10.339870,
-		"RoDyM":                                  -10.339870,
-		"Roboto":                                 -10.339870,
-		"Routing":                                -10.339870,
-		"Rows=":                                  -10.339870,
-		"Rpt":                                    -10.339870,
-		"RqRi/fM":                                -10.339870,
-		"RqUJKlgGVUMM/KtnWYh":                    -10.339870,
-		"RqrQUFqFD/tvZeWQU":                      -10.339870,
-		"RrJA":                                   -10.339870,
-		"RtXeU/":                                 -10.339870,
-		"Ru":                                     -9.646723,
-		"Rudmnx":                                 -10.339870,
-		"RufAnnobTnbWGdOWvuPWtvrrg":              -10.339870,
-		"Rules":                                  -10.339870,
-		"Rw":                                     -10.339870,
-		"RwWfNO":                                 -10.339870,
-		"Rx.Net.":                                -10.339870,
-		"RxApp":                                  -10.339870,
-		"RxApp.DeferredScheduler":                -9.646723,
-		"RxApp.GetFieldNameForPropertyNameFunc.": -9.646723,
-		"S":                                      -8.260428,
-		"S/dQG/dg":                               -10.339870,
-		"SBHCNQrrQTYPq/Vdk":                      -10.339870,
-		"SBmsxPjtkign":                           -10.339870,
-		"SBuso":                                  -10.339870,
-		"SBwkJwndUxxXZkNwnpAhSp":                 -10.339870,
-		"SDKs":                                   -9.646723,
-		"SDjypBvG":                               -10.339870,
-		"SECTION_1002":                           -10.339870,
-		"SGxyYP":                                 -10.339870,
-		"SHIPPING":                               -9.646723,
-		"SHIRT":                                  -10.339870,
-		"SHOES":                                  -10.339870,
-		"SHOP":                                   -10.339870,
-		"SHORTS":                                 -10.339870,
-		"SHORT_PERMALINK":                        -9.241257,
-		"SIEIwfBxUn":                             -10.339870,
-		"SIZE=":                                  -7.204376,
-		"SIZkpCZxRxbxVdDd":                       -10.339870,
-		"SJf":                                    -10.339870,
-		"SKETCH":                                 -10.339870,
-		"SL":                                     -10.339870,
-		"SLEzaxjYSe":                             -10.339870,
-		"SM":                                     -10.339870,
-		"SMFYj":                                  -10.339870,
-		"SNYLivgNkYgAsHArkkwBpHBT":               -10.339870,
-		"SO":                                     -10.339870,
-		"SOTBV":                                  -10.339870,
-		"SPIFK":                                  -10.339870,
-		"SPRING":                                 -10.339870,
-		"SQRL":                                   -10.339870,
-		"SSCd":                                   -10.339870,
-		"STRING":                                 -9.241257,
-		"STYLE=":                                 -7.567281,
-		"SUMMER":                                 -10.339870,
-		"SUZGw":                                  -10.339870,
-		"SWEATER":                                -10.339870,
-		"SXmMY":                                  -10.339870,
-		"SYAAAAASUVORK":                          -10.339870,
-		"SYNC_BIT":                               -9.646723,
-		"SYSTEM":                                 -7.506656,
-		"SZdfWA":                                 -10.339870,
-		"SaixVQjzQCkmQMsdOY":                     -10.339870,
-		"Sample":                                 -9.646723,
-		"Say":                                    -10.339870,
-		"SbCeuPmne/oRDlC":                        -10.339870,
-		"Schema":                                 -10.339870,
-		"Schematron":                             -10.339870,
-		"Scotland":                               -9.646723,
-		"Sebastian":                              -10.339870,
-		"SectionsEnabled=":                       -10.339870,
-		"Select":                                 -9.241257,
-		"SelectMany":                             -9.646723,
-		"SelectMany.":                            -10.339870,
-		"Selector":                               -10.339870,
-		"Semperin":                               -10.339870,
-		"SendMessage.":                           -9.646723,
-		"Sender.":                                -10.339870,
-		"Sends":                                  -9.646723,
-		"Sept.":                                  -10.339870,
-		"Server.":                                -10.339870,
-		"Set":                                    -9.241257,
-		"SetValueToProperty":                     -10.339870,
-		"Setter":                                 -9.646723,
-		"Setters":                                -10.339870,
-		"Settings":                               -9.646723,
-		"Settings.Designer.vb":                   -10.339870,
-		"Settings.settings":                      -10.339870,
-		"SettingsSingleFileGenerator":            -10.339870,
-		"Setup.Bypass__c.Workflow_Rules__c":      -10.339870,
-		"Sf":                                     -10.339870,
-		"ShareAlike":                             -9.646723,
-		"ShdpNJvx":                               -10.339870,
-		"ShownInReport=":                         -10.339870,
-		"Silverlight":                            -8.730432,
-		"Simple":                                 -7.854963,
-		"Simple.":                                -10.339870,
-		"Since":                                  -10.339870,
-		"SjJIz":                                  -10.339870,
-		"SjNhcF":                                 -10.339870,
-		"Slztu":                                  -10.339870,
-		"SmhEajokBBDFpIqgtKzUa":                  -10.339870,
-		"SnMo":                                   -10.339870,
-		"SolutionRoot":                           -9.646723,
-		"Some":                                   -10.339870,
-		"Source":                                 -8.548110,
-		"SourceFiles=":                           -9.241257,
-		"Specifying":                             -9.646723,
-		"SqdznMxWvigVE":                          -10.339870,
-		"SrRYx":                                  -10.339870,
-		"SrbEczr":                                -10.339870,
-		"Src":                                    -10.339870,
-		"SsdeZ/DNic":                             -10.339870,
-		"St":                                     -10.339870,
-		"Studio":                                 -8.730432,
-		"Style":                                  -10.339870,
-		"SubType=":                               -10.339870,
-		"Summary":                                -10.339870,
-		"SutsnJtPHX/ShhxPN":                      -10.339870,
-		"Sweater":                                -10.339870,
-		"SwonQdMVhCejkTF":                        -10.339870,
-		"SyRaTAnB":                               -10.339870,
-		"System":                                 -9.241257,
-		"System.ComponentModel.TypeConverter":    -10.339870,
-		"System.Core":                            -10.339870,
-		"System.Data":                            -10.339870,
-		"System.DateTime":                        -9.646723,
-		"System.Design":                          -10.339870,
-		"System.Drawing":                         -10.339870,
-		"System.Resources.ResXResourceReader":    -9.646723,
-		"System.Resources.ResXResourceWriter":    -9.646723,
-		"System.Runtime.Serialization.Formatters.Soap.SoapFormatter": -10.339870,
-		"System.Serialization.Formatters.Binary.BinaryFormatter":     -10.339870,
-		"System.Windows.Forms":               -8.730432,
-		"System.Xml":                         -10.339870,
-		"T":                                  -7.854963,
-		"T/WnU":                              -10.339870,
-		"TANK":                               -10.339870,
-		"TASK":                               -10.339870,
-		"TBRb":                               -10.339870,
-		"TBxVBHdOqJJM":                       -10.339870,
-		"TBzSYxyF":                           -10.339870,
-		"TC":                                 -10.339870,
-		"TCP":                                -9.646723,
-		"TEI":                                -6.874134,
-		"TEXT=":                              -7.204376,
-		"TF":                                 -10.339870,
-		"TFJjORUJEZndI":                      -10.339870,
-		"TGOjU":                              -10.339870,
-		"TIUgaS":                             -10.339870,
-		"TJeTK":                              -10.339870,
-		"TKCYX":                              -10.339870,
-		"TL":                                 -10.339870,
-		"TNqJl/tMG":                          -10.339870,
-		"TOGYkha":                            -10.339870,
-		"TOPAZ":                              -10.339870,
-		"TOemd":                              -10.339870,
-		"TP":                                 -10.339870,
-		"TPL":                                -10.339870,
-		"TPvpCL":                             -10.339870,
-		"TQOEJyP":                            -10.339870,
-		"TQPJnDQTpnv":                        -10.339870,
-		"TQfgF":                              -10.339870,
-		"TR":                                 -10.339870,
-		"TRACE":                              -8.393960,
-		"TREBLIoJLT":                         -10.339870,
-		"TRzSfE":                             -10.339870,
-		"TS":                                 -9.241257,
-		"TSdWCgibYA":                         -10.339870,
-		"TSender":                            -10.339870,
-		"TTbNpibpyNT/N":                      -10.339870,
-		"TWO":                                -10.339870,
-		"TX":                                 -10.339870,
-		"TYWuVrjA/UAfx":                      -10.339870,
-		"TZjcj":                              -10.339870,
-		"Tag":                                -10.339870,
-		"TaggJD/xuvM":                        -10.339870,
-		"Target":                             -8.730432,
-		"Target=":                            -8.953575,
-		"TargetFileName=":                    -8.953575,
-		"Targets":                            -10.339870,
-		"Targets=":                           -8.393960,
-		"Task":                               -10.339870,
-		"TaskName=":                          -9.646723,
-		"TaskpoolScheduler":                  -9.646723,
-		"Tb/tTknO":                           -10.339870,
-		"TckrBE":                             -10.339870,
-		"TeX":                                -10.339870,
-		"Test":                               -9.646723,
-		"Text":                               -9.646723,
-		"Text=":                              -8.393960,
-		"TextColor=":                         -10.339870,
-		"Tf":                                 -10.339870,
-		"TfAYhtJtgqMAHewWqhqrwJXu":           -10.339870,
-		"TgQO":                               -10.339870,
-		"TgYrohX":                            -10.339870,
-		"ThENTdax":                           -10.339870,
-		"The":                                -5.775522,
-		"Theme=":                             -9.646723,
-		"There":                              -10.339870,
-		"This":                               -6.905883,
-		"This.GetValue":                      -10.339870,
-		"ThlApvdRyYBal":                      -10.339870,
-		"Threadpool":                         -10.339870,
-		"Time":                               -8.730432,
-		"Timer.":                             -9.646723,
-		"Tite":                               -10.339870,
-		"TjxN":                               -10.339870,
-		"Tk":                                 -10.339870,
-		"TlGeEqTfgbhhMEeXXwoEVa":             -10.339870,
-		"TmR":                                -10.339870,
-		"Tn":                                 -10.339870,
-		"To":                                 -8.142645,
-		"ToPath=":                            -10.339870,
-		"ToProperty":                         -9.646723,
-		"ToZBI":                              -10.339870,
-		"Tools":                              -9.646723,
-		"ToolsVersion=":                      -7.774920,
-		"TpVluyCUtcah":                       -10.339870,
-		"TqU":                                -10.339870,
-		"TrQ":                                -10.339870,
-		"Tracking":                           -9.646723,
-		"Trans":                              -10.339870,
-		"Transcription":                      -10.339870,
-		"Transform":                          -9.241257,
-		"TransformOrigin=":                   -10.339870,
-		"Treemap":                            -10.339870,
-		"TrtDMlIheowMUF":                     -10.339870,
-		"True":                               -7.567281,
-		"True.":                              -9.646723,
-		"Tt":                                 -9.241257,
-		"TtQtKq/WtlUnie":                     -10.339870,
-		"TtUYACIBHFcywHrGFsqssaY":            -10.339870,
-		"Tu":                                 -10.339870,
-		"TuJdIIYAklsK":                       -10.339870,
-		"Tukg":                               -10.339870,
-		"TuuKQaxHU":                          -10.339870,
-		"TwIyvtAAAAAElFTkSuQmCC":             -10.339870,
-		"TxlEWM":                             -10.339870,
-		"Ty":                                 -10.339870,
-		"Type":                               -7.941974,
-		"Type.":                              -9.646723,
-		"Type=":                              -9.646723,
-		"TypeConverter":                      -9.646723,
-		"Types":                              -9.646723,
-		"U":                                  -9.646723,
-		"UAP":                                -10.339870,
-		"UDyeCdp":                            -10.339870,
-		"UFnZ":                               -10.339870,
-		"UI":                                 -9.646723,
-		"UMlFKRaAVhUwUOvFgExMVT":             -10.339870,
-		"UNBLOCK_BIT":                        -9.646723,
-		"UQfDVVlDtcBOo":                      -10.339870,
-		"URI":                                -9.646723,
-		"URL":                                -9.646723,
-		"US":                                 -10.339870,
-		"UVdKcK":                             -10.339870,
-		"Uk":                                 -10.339870,
-		"UmV":                                -10.339870,
-		"UncoverableAttribute=":              -10.339870,
-		"Unicode":                            -9.646723,
-		"Unido":                              -9.646723,
-		"Unit":                               -10.339870,
-		"United":                             -9.646723,
-		"Unported":                           -10.339870,
-		"Unrecognized":                       -9.646723,
-		"Unsubscribe":                        -10.339870,
-		"UoVxGUMYaJAZaaofzvgvAG":             -10.339870,
-		"Uri=":                               -10.339870,
-		"Use":                                -7.631820,
-		"Using":                              -10.339870,
-		"Uvqvv":                              -10.339870,
-		"UzLqFMzGDa":                         -10.339870,
-		"V":                                  -8.548110,
-		"VBC":                                -10.339870,
-		"VClBDk":                             -10.339870,
-		"VCpKmOmTnYk":                        -10.339870,
-		"VCtPmbNY":                           -10.339870,
-		"VD":                                 -10.339870,
-		"VERDANT":                            -10.339870,
-		"VEoibbPUMWapEXQvG":                  -10.339870,
-		"VFunpfeTl":                          -10.339870,
-		"VGSM":                               -10.339870,
-		"VGwjqbKMKkLugBXotSictylIXQHEu":      -10.339870,
-		"VIY/iYBTkZ":                         -10.339870,
-		"VIg":                                -10.339870,
-		"VJVr":                               -10.339870,
-		"VKwoyMBvjVAYDzetFCrglkU":            -10.339870,
-		"VLOSco":                             -10.339870,
-		"VLvPb":                              -10.339870,
-		"VMkD":                               -10.339870,
-		"VRpuX":                              -10.339870,
-		"VSIX":                               -10.339870,
-		"VSPackage.cs":                       -10.339870,
-		"VSPackage.ico":                      -10.339870,
-		"VSPackage.resx":                     -10.339870,
-		"VV":                                 -10.339870,
-		"VVP":                                -10.339870,
-		"VVaIe":                              -10.339870,
-		"VWBM":                               -10.339870,
-		"VWV":                                -10.339870,
-		"VZSEKfNIEnpAxyuW":                   -10.339870,
-		"Value":                              -9.241257,
-		"Value=":                             -8.393960,
-		"ValueIfNotDefault":                  -10.339870,
-		"VbMyResourcesResXFileCodeGenerator": -10.339870,
-		"VcfFcWRVVSMbQfv":                    -10.339870,
-		"VcnJOx":                             -10.339870,
-		"Version":                            -8.393960,
-		"Version.Major":                      -10.339870,
-		"Version=":                           -8.142645,
-		"VersionNumberMajor":                 -10.339870,
-		"VersionNumberMinor":                 -10.339870,
-		"VerticalText":                       -10.339870,
-		"Vg":                                 -10.339870,
-		"VgYzoAAAAASUVORK":                   -10.339870,
-		"VhAv":                               -10.339870,
-		"View":                               -10.339870,
-		"ViewModel":                          -8.260428,
-		"ViewModels":                         -9.241257,
-		"Villa":                              -10.339870,
-		"Violated":                           -10.339870,
-		"Visual":                             -8.953575,
-		"VisualStudio":                       -9.241257,
-		"VisualStudioVersion":                -9.646723,
-		"VkX":                                -10.339870,
-		"Vkbqeng":                            -10.339870,
-		"VoVk":                               -10.339870,
-		"Vols":                               -10.339870,
-		"Vq//XHvG":                           -10.339870,
-		"VsPkg.cs":                           -10.339870,
-		"VsixVSPackageCSharp":                -10.339870,
-		"Vsyclt":                             -10.339870,
-		"Vt":                                 -10.339870,
-		"VvJtwd":                             -10.339870,
-		"VwaXa":                              -10.339870,
-		"VxPG":                               -10.339870,
-		"VzUzUXlgzyPYvFWriKVbgWsERdevxXqAI/COVELiam": -10.339870,
-		"VzWHGSqO":                              -10.339870,
-		"VzqyoucsdIP":                           -10.339870,
-		"W":                                     -7.941974,
-		"W/DzcYBXyyAAAAAElFTkSuQmCC":            -10.339870,
-		"WABmSHSTqSqlwoENUgGZ":                  -10.339870,
-		"WBH":                                   -10.339870,
-		"WBWyaW":                                -10.339870,
-		"WE":                                    -10.339870,
-		"WFhYGBoYGBg":                           -10.339870,
-		"WGf":                                   -10.339870,
-		"WHURsGDNJSTHu":                         -10.339870,
-		"WIDTH=":                                -7.567281,
-		"WIN":                                   -9.646723,
-		"WIVQcXLFGU":                            -10.339870,
-		"WKTbPUoQv":                             -10.339870,
-		"WL/qL":                                 -10.339870,
-		"WLKmZ":                                 -10.339870,
-		"WNmsl":                                 -10.339870,
-		"WODyzjKOBjFdESBxIqEzqayMaESoEXxX":      -10.339870,
-		"WP":                                    -10.339870,
-		"WPF":                                   -10.339870,
-		"WPfCSjqK":                              -10.339870,
-		"WQlFgnuzSAQhCCagGgCgqQIklYgKhAVExUTFc": -10.339870,
-		"WQqS":                                  -10.339870,
-		"WUkFkPrSljAMvS":                        -10.339870,
-		"WUtULud/OpHtcyb":                       -10.339870,
-		"WVigKWGIkrLZJgU":                       -10.339870,
-		"WVm":                                   -10.339870,
-		"WVwNnnFsrkc":                           -10.339870,
-		"Wales":                                 -9.646723,
-		"WdoiV/TjBHmPEE":                        -10.339870,
-		"We":                                    -9.241257,
-		"Web":                                   -10.339870,
-		"Web.config":                            -10.339870,
-		"WebRequest":                            -10.339870,
-		"When":                                  -8.393960,
-		"WhenAny":                               -7.854963,
-		"WiX":                                   -10.339870,
-		"Width=":                                -7.506656,
-		"Win":                                   -9.646723,
-		"Window":                                -10.339870,
-		"Windows":                               -8.730432,
-		"With":                                  -10.339870,
-		"Wix.targets":                           -10.339870,
-		"WixProject":                            -10.339870,
-		"WixTargetsPath":                        -10.339870,
-		"Wjgyc":                                 -10.339870,
-		"WkHeCbXfDV":                            -10.339870,
-		"WkbmpjCK":                              -10.339870,
-		"Wl/yULCD/b":                            -10.339870,
-		"WlB":                                   -10.339870,
-		"Wm":                                    -10.339870,
-		"Wo":                                    -10.339870,
-		"WorkingDirectory=":                     -10.339870,
-		"Works":                                 -9.646723,
-		"Wp":                                    -10.339870,
-		"WqB":                                   -10.339870,
-		"WtfZz":                                 -10.339870,
-		"Wu":                                    -10.339870,
-		"WunpQzOkL":                             -10.339870,
-		"WvQRc":                                 -10.339870,
-		"WvfJ":                                  -10.339870,
-		"WwrmR":                                 -10.339870,
-		"WyvO":                                  -10.339870,
-		"X":                                     -8.548110,
-		"X/Cb":                                  -10.339870,
-		"X/SAJyeSaxOPY":                         -10.339870,
-		"X/hvO":                                 -10.339870,
-		"X=":                                    -10.339870,
-		"XEBo":                                  -10.339870,
-		"XEUmVQgoxCe":                           -10.339870,
-		"XF":                                    -10.339870,
-		"XFzFVBVAjcW":                           -10.339870,
-		"XHTML":                                 -8.393960,
-		"XI":                                    -10.339870,
-		"XJPGUG":                                -10.339870,
-		"XMA/":                                  -10.339870,
-		"XML":                                   -8.953575,
-		"XMLSchema":                             -8.548110,
-		"XN":                                    -10.339870,
-		"XO":                                    -10.339870,
-		"XPPOffm":                               -10.339870,
-		"XPe":                                   -10.339870,
-		"XQJZCbuXp/":                            -10.339870,
-		"XQZMm":                                 -10.339870,
-		"XRAuP":                                 -10.339870,
-		"XRrxHT":                                -10.339870,
-		"XS":                                    -10.339870,
-		"XSL":                                   -8.953575,
-		"XUDYaMagwfWXhCilMsZ":                   -10.339870,
-		"XUSbY":                                 -10.339870,
-		"XUYjEmebBXSeTYePjIdVj":                 -10.339870,
-		"XUg":                                   -10.339870,
-		"XV/Kn":                                 -10.339870,
-		"XVzdQ":                                 -10.339870,
-		"XWVlZW":                                -10.339870,
-		"XX":                                    -10.339870,
-		"XbJ/rU/pX":                             -10.339870,
-		"Xc":                                    -10.339870,
-		"XdUtg":                                 -10.339870,
-		"XgNc":                                  -10.339870,
-		"XhyfIWbCOQCHEM":                        -10.339870,
-		"Xj":                                    -10.339870,
-		"XjrDXRGWjIBO":                          -10.339870,
-		"Xkkp":                                  -10.339870,
-		"XmMvAppMUb":                            -10.339870,
-		"XmlInputPath=":                         -10.339870,
-		"XnxIBIdrEnf":                           -10.339870,
-		"Xs":                                    -10.339870,
-		"XslPath=":                              -10.339870,
-		"Xu":                                    -10.339870,
-		"XuXBkUyOx":                             -10.339870,
-		"Xw":                                    -10.339870,
-		"Xy":                                    -10.339870,
-		"Y":                                     -8.393960,
-		"Y=":                                    -8.730432,
-		"YAAAAASUVORK":                          -10.339870,
-		"YAunhIkT":                              -10.339870,
-		"YBGRDGARACIGfU":                        -10.339870,
-		"YCRCH":                                 -10.339870,
-		"YGJF":                                  -10.339870,
-		"YKgHgbWYoKEUD":                         -10.339870,
-		"YMCgwKDAYKD":                           -10.339870,
-		"YMYy/":                                 -10.339870,
-		"YMrPObHy/u":                            -10.339870,
-		"YN":                                    -10.339870,
-		"YOQ":                                   -10.339870,
-		"YTtcYqSwuW":                            -10.339870,
-		"YVkPUqssYU":                            -10.339870,
-		"YWiFAKaHTokI":                          -10.339870,
-		"YZy":                                   -10.339870,
-		"Ye":                                    -10.339870,
-		"Yfvq":                                  -10.339870,
-		"YgZZvx":                                -10.339870,
-		"Ygc":                                   -10.339870,
-		"YhAF":                                  -10.339870,
-		"YhYJEm/":                               -10.339870,
-		"YhfYAwnQzulBQdc":                       -10.339870,
-		"YhuunCcp":                              -10.339870,
-		"Yi":                                    -10.339870,
-		"YiK":                                   -10.339870,
-		"YiqMcT":                                -10.339870,
-		"Yj":                                    -10.339870,
-		"YjprkLcJOo":                            -10.339870,
-		"Yk":                                    -10.339870,
-		"YkTFmrP":                               -10.339870,
-		"YnpN":                                  -10.339870,
-		"YnrUMzAFTMz":                           -10.339870,
-		"YoJMahcQVQHBbOfAmrDsgC":                -10.339870,
-		"You":                                   -10.339870,
-		"YpQsF":                                 -10.339870,
-		"YpsYKz":                                -10.339870,
-		"YrrTNcV":                               -10.339870,
-		"Yrs":                                   -10.339870,
-		"YsQKBqFjBvzA":                          -10.339870,
-		"YtI":                                   -10.339870,
-		"YwGS":                                  -10.339870,
-		"YwkPPx":                                -10.339870,
-		"Yx":                                    -10.339870,
-		"Yy":                                    -10.339870,
-		"Yz":                                    -10.339870,
-		"Yzb":                                   -10.339870,
-		"Z":                                     -8.393960,
-		"Z/HrKPYnEj":                            -10.339870,
-		"ZAAAAF":                                -9.646723,
-		"ZAAAAhklEQVR":                          -10.339870,
-		"ZAAAB/":                                -10.339870,
-		"ZAAAB/klEQVR":                          -10.339870,
-		"ZAAABYklEQVR":                          -10.339870,
-		"ZAAABfElEQVR":                          -10.339870,
-		"ZAAABjklEQVR":                          -10.339870,
-		"ZAAABvklEQVR":                          -10.339870,
-		"ZAAACIUlEQVR":                          -10.339870,
-		"ZAAACK":                                -10.339870,
-		"ZAAACMUlEQVR":                          -10.339870,
-		"ZAAACN":                                -10.339870,
-		"ZAAACNElEQVR":                          -10.339870,
-		"ZAAACO":                                -10.339870,
-		"ZAAACOElEQVR":                          -10.339870,
-		"ZAAACOUlEQVR":                          -10.339870,
-		"ZAAACP":                                -10.339870,
-		"ZAAACPUlEQVR":                          -10.339870,
-		"ZAAACQ":                                -10.339870,
-		"ZAAACQklEQVR":                          -10.339870,
-		"ZAAACS":                                -10.339870,
-		"ZAAACSElEQVR":                          -10.339870,
-		"ZAAACSUlEQVR":                          -10.339870,
-		"ZAAACTElEQVR":                          -10.339870,
-		"ZAAACUElEQVR":                          -10.339870,
-		"ZAAACUklEQVR":                          -10.339870,
-		"ZAAACV":                                -10.339870,
-		"ZAAACYUlEQVR":                          -10.339870,
-		"ZAAACjElEQVR":                          -10.339870,
-		"ZAuuu":                                 -10.339870,
-		"ZAvLtuTd":                              -10.339870,
-		"ZC":                                    -10.339870,
-		"ZCVwdsiun":                             -10.339870,
-		"ZChWhmJN/hlcTERrXVWcq":                 -10.339870,
-		"ZEb":                                   -10.339870,
-		"ZFJSQJk":                               -10.339870,
-		"ZFPXviIWIJAHJ/S":                       -10.339870,
-		"ZGA":                                   -10.339870,
-		"ZH":                                    -10.339870,
-		"ZHv":                                   -10.339870,
-		"ZJhH":                                  -10.339870,
-		"ZLbbmL":                                -10.339870,
-		"ZLeVIwGFst":                            -10.339870,
-		"ZPyUmZ":                                -10.339870,
-		"ZQ":                                    -10.339870,
-		"ZQwAAAABJRU":                           -10.339870,
-		"ZR":                                    -10.339870,
-		"ZRiESZ":                                -10.339870,
-		"ZSO":                                   -10.339870,
-		"ZSrk/g":                                -10.339870,
-		"ZTC":                                   -10.339870,
-		"ZUaiksejgA":                            -10.339870,
-		"ZUlFIRaEShEYVUFFJREVExomLEidjv":        -10.339870,
-		"ZWc":                                   -10.339870,
-		"ZWoicWMkECgqQIklY":                     -10.339870,
-		"ZX":                                    -10.339870,
-		"ZZ":                                    -10.339870,
-		"Zbi":                                   -10.339870,
-		"Zcp":                                   -10.339870,
-		"ZdmLWwHIv":                             -10.339870,
-		"Zdvx":                                  -10.339870,
-		"Ze":                                    -10.339870,
-		"ZeTMM":                                 -10.339870,
-		"Zecy":                                  -10.339870,
-		"Zeubr":                                 -10.339870,
-		"Zf":                                    -10.339870,
-		"ZfiRIzkvYGna":                          -10.339870,
-		"Zfo":                                   -10.339870,
-		"Zg":                                    -10.339870,
-		"ZgST":                                  -10.339870,
-		"ZhjPPElRYMEMrNItgjA":                   -10.339870,
-		"Zho":                                   -10.339870,
-		"ZiScdCWe":                              -10.339870,
-		"Zillig":                                -10.339870,
-		"ZipFileName=":                          -10.339870,
-		"ZipLevel=":                             -10.339870,
-		"ZkVz":                                  -10.339870,
-		"ZkcyxTSC":                              -10.339870,
-		"ZnFYxOhOUeqc/vGlLPpUrAKwnFDSstPPJu/":   -10.339870,
-		"ZnYzGv":                                -10.339870,
-		"ZrnvSuGlIb":                            -10.339870,
-		"ZvDb":                                  -10.339870,
-		"ZvLB/eOxTAAAAAElFTkSuQmCC":             -10.339870,
-		"ZwJqBAPZrquXujKbP":                     -10.339870,
-		"Zy":                                    -10.339870,
-		"Zzeuq":                                 -10.339870,
-		"ZziW":                                  -10.339870,
-		"[":                                     -7.774920,
-		"\\":                                    -5.072012,
-		"]":                                     -6.784522,
-		"_DEBUG":                                -10.339870,
-		"_PlatformToolsetFriendlyNameFor_v":     -10.339870,
-		"_PlatformToolsetShortNameFor_v":        -10.339870,
-		"_bench.d":                              -10.339870,
-		"_bench.png":                            -10.339870,
-		"_scd":                                  -8.730432,
-		"_woodstack.d":                          -10.339870,
-		"a":                                     -5.036565,
-		"a.SourceDecls.Select":                  -10.339870,
-		"a.SourceFileDeclAvailable":             -10.339870,
-		"aDGF":                                  -10.339870,
-		"aGUraCBBU":                             -10.339870,
-		"aKBOADiMiarVAVEK":                      -10.339870,
-		"aNIckLMnQyPIh":                         -10.339870,
-		"aQUduSsanKjnVDe":                       -10.339870,
-		"aRgrIw/ghE":                            -10.339870,
-		"aSbNw":                                 -10.339870,
-		"aT":                                    -10.339870,
-		"aUk":                                   -10.339870,
-		"aWpGxYTnvvMN/STd":                      -10.339870,
-		"aX":                                    -10.339870,
-		"aXzwZFkJzLh":                           -10.339870,
-		"aYOiBj":                                -10.339870,
-		"aa":                                    -10.339870,
-		"ab":                                    -9.646723,
-		"abbr":                                  -9.646723,
-		"able":                                  -9.241257,
-		"about":                                 -8.548110,
-		"above":                                 -9.646723,
-		"ac":                                    -10.339870,
-		"acVEBeIEomICe":                         -10.339870,
-		"accept":                                -10.339870,
-		"access":                                -9.241257,
-		"accommodate":                           -10.339870,
-		"accordingly":                           -10.339870,
-		"achieve":                               -10.339870,
-		"across":                                -9.646723,
-		"act":                                   -9.646723,
-		"action":                                -9.241257,
-		"action_end_game":                       -10.339870,
-		"actual":                                -8.730432,
-		"add":                                   -8.142645,
-		"added":                                 -8.393960,
-		"added.":                                -8.730432,
-		"added/removed":                         -10.339870,
-		"adding":                                -9.241257,
-		"addition":                              -8.953575,
-		"additional":                            -9.241257,
-		"additionnal":                           -10.339870,
-		"adds":                                  -9.646723,
-		"adipiscing":                            -10.339870,
-		"ado":                                   -10.339870,
-		"adopting":                              -10.339870,
-		"advised":                               -10.339870,
-		"ae":                                    -9.241257,
-		"af":                                    -10.339870,
-		"after":                                 -9.646723,
-		"agn":                                   -10.339870,
-		"ahhUl":                                 -10.339870,
-		"ahnYcXg":                               -10.339870,
-		"aims":                                  -9.646723,
-		"akWxuWYJxV":                            -10.339870,
-		"al":                                    -6.555680,
-		"algorithmic":                           -10.339870,
-		"align":                                 -7.120994,
-		"align=":                                -6.063204,
-		"aligned":                               -10.339870,
-		"alignment":                             -9.646723,
-		"alignment=":                            -10.339870,
-		"aliquam":                               -10.339870,
-		"all":                                   -7.941974,
-		"allcaps":                               -10.339870,
-		"allow":                                 -9.646723,
-		"allowed.":                              -10.339870,
-		"allowing":                              -10.339870,
-		"allows":                                -7.567281,
-		"almost":                                -9.646723,
-		"alongside":                             -10.339870,
-		"alpVmc":                                -10.339870,
-		"alpha=":                                -10.339870,
-		"already":                               -10.339870,
-		"also":                                  -7.449498,
-		"alt=":                                  -7.007665,
-		"always":                                -6.874134,
-		"amet":                                  -10.339870,
-		"amp":                                   -7.567281,
-		"an":                                    -5.807270,
-		"anQ":                                   -10.339870,
-		"analysis.":                             -10.339870,
-		"analyze":                               -10.339870,
-		"ancestor":                              -8.393960,
-		"and":                                   -5.457068,
-		"and/or":                                -10.339870,
-		"and=":                                  -7.395431,
-		"annotation":                            -10.339870,
-		"another":                               -9.241257,
-		"ant":                                   -10.339870,
-		"ante":                                  -8.548110,
-		"antlib":                                -9.646723,
-		"any":                                   -7.449498,
-		"anything":                              -9.646723,
-		"aoqjman":                               -10.339870,
-		"aoxqZoF":                               -10.339870,
-		"apache":                                -9.646723,
-		"application":                           -9.241257,
-		"application/x":                         -8.953575,
-		"applications":                          -9.646723,
-		"applies":                               -10.339870,
-		"apply":                                 -9.241257,
-		"approach":                              -10.339870,
-		"appropriate":                           -9.241257,
-		"arbitrarily":                           -9.646723,
-		"architecture":                          -10.339870,
-		"architecture.":                         -10.339870,
-		"archive":                               -10.339870,
-		"are":                                   -7.007665,
-		"area":                                  -9.646723,
-		"areas":                                 -10.339870,
-		"arguments=":                            -6.650990,
-		"arising":                               -10.339870,
-		"armHYZ":                                -10.339870,
-		"array":                                 -9.646723,
-		"article":                               -8.953575,
-		"artifact":                              -10.339870,
-		"artifactName=":                         -9.646723,
-		"as":                                    -6.408044,
-		"as=":                                   -9.646723,
-		"asJhp":                                 -10.339870,
-		"asTSqV":                                -10.339870,
-		"asm":                                   -10.339870,
-		"asmSourceFilesPaths":                   -9.646723,
-		"asmx":                                  -10.339870,
-		"assigned":                              -9.646723,
-		"associated":                            -10.339870,
-		"associates":                            -10.339870,
-		"association":                           -10.339870,
-		"assumption":                            -8.953575,
-		"async":                                 -9.241257,
-		"asynchronous":                          -8.953575,
-		"asyncronous":                           -10.339870,
-		"at":                                    -8.548110,
-		"attached.":                             -10.339870,
-		"attaching":                             -10.339870,
-		"attclasses":                            -10.339870,
-		"attempt":                               -10.339870,
-		"attempts":                              -10.339870,
-		"attlist":                               -8.953575,
-		"attrib":                                -7.941974,
-		"attribute":                             -9.646723,
-		"author":                                -9.241257,
-		"automatically":                         -9.241257,
-		"available":                             -9.646723,
-		"available.":                            -10.339870,
-		"avoid":                                 -9.646723,
-		"awesome":                               -10.339870,
-		"axOHLu":                                -10.339870,
-		"ay":                                    -10.339870,
-		"ayJ":                                   -10.339870,
-		"b":                                     -7.506656,
-		"b/sYwCsPDinSuun":                       -10.339870,
-		"bAwq":                                  -10.339870,
-		"bCXZhMq":                               -10.339870,
-		"bD":                                    -10.339870,
-		"bDR":                                   -10.339870,
-		"bEiw":                                  -10.339870,
-		"bEjCJmbCxieBe":                         -10.339870,
-		"bKOH":                                  -10.339870,
-		"bLWClmtuQ":                             -10.339870,
-		"bLjdJXkp/dow":                          -10.339870,
-		"bLm":                                   -10.339870,
-		"bLvC":                                  -10.339870,
-		"bNPFqtBz":                              -10.339870,
-		"bNWqLwvMVhEOo/hu":                      -10.339870,
-		"bOpVcmp/UqGS":                          -10.339870,
-		"bPRp":                                  -10.339870,
-		"bQSY":                                  -10.339870,
-		"bRl":                                   -10.339870,
-		"bSjE":                                  -10.339870,
-		"bTVkkLBijuc":                           -10.339870,
-		"bU":                                    -10.339870,
-		"bVBXwdgbukndLA":                        -10.339870,
-		"bVDWta":                                -10.339870,
-		"bW":                                    -10.339870,
-		"bZ":                                    -10.339870,
-		"bZRIPzDfFuj":                           -10.339870,
-		"backed":                                -10.339870,
-		"background":                            -6.369578,
-		"backing":                               -8.142645,
-		"backpack":                              -9.646723,
-		"bak_soldier":                           -10.339870,
-		"banned":                                -10.339870,
-		"bark.png":                              -10.339870,
-		"base":                                  -7.506656,
-		"base.dll":                              -8.730432,
-		"based":                                 -8.037285,
-		"basic":                                 -10.339870,
-		"basis":                                 -10.339870,
-		"bat":                                   -10.339870,
-		"bbwzGcGAtrhU":                          -10.339870,
-		"bc":                                    -10.339870,
-		"bc8a":                                  -9.646723,
-		"bcHvSFn/gW":                            -10.339870,
-		"bccc":                                  -10.339870,
-		"bd":                                    -10.339870,
-		"bdD":                                   -10.339870,
-		"bdjDRn":                                -10.339870,
-		"be":                                    -5.970422,
-		"beae":                                  -10.339870,
-		"because":                               -9.646723,
-		"become":                                -10.339870,
-		"been":                                  -8.393960,
-		"before":                                -8.142645,
-		"behN":                                  -10.339870,
-		"behaviour=":                            -5.204071,
-		"being":                                 -9.241257,
-		"bel":                                   -9.646723,
-		"believe":                               -10.339870,
-		"below":                                 -8.730432,
-		"below.":                                -10.339870,
-		"benefit":                               -10.339870,
-		"between":                               -7.631820,
-		"beyond":                                -10.339870,
-		"bh":                                    -10.339870,
-		"bibl":                                  -9.646723,
-		"biblFull":                              -9.241257,
-		"biblStruct":                            -9.646723,
-		"bin":                                   -7.631820,
-		"binary":                                -9.646723,
-		"binary_value_":                         -9.646723,
-		"binding.":                              -10.339870,
-		"bindings":                              -7.700812,
-		"bkLrxZWDtoyX":                          -10.339870,
-		"bl":                                    -10.339870,
-		"blKxZvZlbWYOs":                         -10.339870,
-		"black":                                 -10.339870,
-		"blackLetter":                           -10.339870,
-		"blackletter":                           -10.339870,
-		"blackletterType":                       -10.339870,
-		"blank_spr":                             -10.339870,
-		"bllzG":                                 -10.339870,
-		"block":                                 -9.241257,
-		"blog":                                  -10.339870,
-		"blue=":                                 -10.339870,
-		"bmp":                                   -10.339870,
-		"bnQIqNlXwVynYbSzL":                     -10.339870,
-		"bo":                                    -9.241257,
-		"body":                                  -8.142645,
-		"bol":                                   -10.339870,
-		"bold":                                  -7.631820,
-		"bon":                                   -10.339870,
-		"book":                                  -8.730432,
-		"books":                                 -9.241257,
-		"bool_value_":                           -9.646723,
-		"bootstrap=":                            -10.339870,
-		"border":                                -7.161816,
-		"border=":                               -7.120994,
-		"bot":                                   -9.241257,
-		"both":                                  -8.953575,
-		"bottom":                                -6.105763,
-		"bottom=":                               -10.339870,
-		"bottombraced":                          -10.339870,
-		"boxed":                                 -10.339870,
-		"bpDp":                                  -10.339870,
-		"bq":                                    -10.339870,
-		"bqeDju":                                -10.339870,
-		"br":                                    -9.646723,
-		"braced":                                -10.339870,
-		"bring":                                 -10.339870,
-		"brings":                                -10.339870,
-		"brm":                                   -10.339870,
-		"broadcast":                             -9.646723,
-		"bt":                                    -10.339870,
-		"btEztdVhytfg":                          -10.339870,
-		"btjcrb":                                -10.339870,
-		"build":                                 -8.393960,
-		"buildArtefactType=":                    -9.646723,
-		"buildPath=":                            -9.646723,
-		"buildProperties=":                      -9.646723,
-		"buildSystemId=":                        -9.646723,
-		"built":                                 -10.339870,
-		"builtIn=":                              -8.953575,
-		"bus.":                                  -10.339870,
-		"business":                              -10.339870,
-		"but":                                   -8.037285,
-		"bv":                                    -10.339870,
-		"bvsxXDBStU":                            -10.339870,
-		"bwUbNW":                                -10.339870,
-		"by":                                    -7.007665,
-		"byte":                                  -9.646723,
-		"c":                                     -6.905883,
-		"c/AW":                                  -10.339870,
-		"cCk":                                   -10.339870,
-		"cCkDERKQaWGBBhEVUGkBVRywCQHRDqDAIOAAwUHCgxG": -10.339870,
-		"cDKe":                                 -10.339870,
-		"cDPN":                                 -10.339870,
-		"cDTYCjcwjYW":                          -10.339870,
-		"cEG":                                  -10.339870,
-		"cEhhoaGpoGBgYamhoaWgOTFeaCSS":         -10.339870,
-		"cEikAlzOTMh":                          -10.339870,
-		"cH":                                   -10.339870,
-		"cIzL":                                 -10.339870,
-		"cJ":                                   -10.339870,
-		"cJIxYisgHEatA":                        -10.339870,
-		"cKov":                                 -10.339870,
-		"cL/wB":                                -10.339870,
-		"cLbf":                                 -10.339870,
-		"cMz":                                  -10.339870,
-		"cOJLfDPsmGFNUL":                       -10.339870,
-		"cPugAAAABJRU":                         -10.339870,
-		"cQUrnXhXBSui":                         -10.339870,
-		"cRoQcROJDYJYmHklxUBoMDcxUyAZVUg":      -10.339870,
-		"cSpyS":                                -10.339870,
-		"cVcqlf/":                              -10.339870,
-		"cVwZgpTAGA/WRiAYSrLwXf":               -10.339870,
-		"c_gray":                               -10.339870,
-		"caI/i":                                -10.339870,
-		"cache":                                -7.700812,
-		"cache.":                               -8.730432,
-		"cached":                               -9.646723,
-		"caches":                               -9.646723,
-		"calculation":                          -8.260428,
-		"calculationFunc":                      -9.646723,
-		"call":                                 -8.730432,
-		"called":                               -8.730432,
-		"called.":                              -10.339870,
-		"calls.":                               -9.646723,
-		"can":                                  -7.506656,
-		"cannot":                               -10.339870,
-		"cap":                                  -10.339870,
-		"capacity_after_header_":               -10.339870,
-		"capitalize":                           -6.408044,
-		"caps":                                 -10.339870,
-		"case":                                 -6.022382,
-		"castable":                             -8.953575,
-		"casting":                              -10.339870,
-		"caused":                               -10.339870,
-		"cb":                                   -9.646723,
-		"cc":                                   -9.646723,
-		"ccx":                                  -10.339870,
-		"cd":                                   -9.646723,
-		"cda":                                  -10.339870,
-		"cell":                                 -8.730432,
-		"center":                               -9.241257,
-		"centre":                               -10.339870,
-		"centric":                              -10.339870,
-		"cfa":                                  -10.339870,
-		"cgksgxBnPhfaPERJZhX":                  -10.339870,
-		"chained":                              -9.646723,
-		"change":                               -7.081773,
-		"change.":                              -7.854963,
-		"changed":                              -7.449498,
-		"changed.":                             -8.142645,
-		"changes":                              -7.774920,
-		"changes.":                             -9.646723,
-		"char":                                 -7.774920,
-		"char*":                                -10.339870,
-		"character":                            -10.339870,
-		"characters":                           -9.646723,
-		"charoff":                              -9.241257,
-		"checks.":                              -10.339870,
-		"chen":                                 -9.646723,
-		"child":                                -8.953575,
-		"choice":                               -10.339870,
-		"choices":                              -9.241257,
-		"ciABPZvAbNl":                          -10.339870,
-		"cia":                                  -9.646723,
-		"cifuR/Z":                              -10.339870,
-		"ciibcwPvF":                            -10.339870,
-		"citation":                             -10.339870,
-		"clVdZLL":                              -10.339870,
-		"clarification":                        -10.339870,
-		"class":                                -7.854963,
-		"class=":                               -9.646723,
-		"classes":                              -8.953575,
-		"classes.":                             -9.646723,
-		"classification":                       -10.339870,
-		"clean":                                -10.339870,
-		"cleanCommand=":                        -9.646723,
-		"clear":                                -9.646723,
-		"clearsContextBeforeDrawing=":          -10.339870,
-		"client.":                              -9.646723,
-		"clu":                                  -10.339870,
-		"cmpE":                                 -10.339870,
-		"co":                                   -10.339870,
-		"coarse":                               -10.339870,
-		"code":                                 -8.548110,
-		"collection":                           -7.044033,
-		"collection.":                          -8.548110,
-		"collections":                          -10.339870,
-		"colname":                              -9.241257,
-		"colnum":                               -10.339870,
-		"color":                                -6.448049,
-		"color=":                               -6.626298,
-		"colorSpace=":                          -10.339870,
-		"colors=":                              -10.339870,
-		"colour.":                              -10.339870,
-		"cols":                                 -7.774920,
-		"colspec":                              -7.700812,
-		"column":                               -8.953575,
-		"colwidth":                             -8.260428,
-		"com":                                  -8.260428,
-		"combination":                          -9.646723,
-		"come":                                 -10.339870,
-		"command=":                             -6.650990,
-		"commercial":                           -10.339870,
-		"common":                               -10.339870,
-		"communicate":                          -9.646723,
-		"community.":                           -10.339870,
-		"compare":                              -10.339870,
-		"compatVersion=":                       -10.339870,
-		"compatible":                           -10.339870,
-		"compilation":                          -10.339870,
-		"compile":                              -10.339870,
-		"compiler":                             -10.339870,
-		"complete":                             -9.241257,
-		"completes":                            -8.953575,
-		"component=":                           -9.241257,
-		"compute":                              -10.339870,
-		"computer":                             -10.339870,
-		"concat":                               -9.646723,
-		"concurrent":                           -8.730432,
-		"concurrently":                         -9.646723,
-		"conditions":                           -9.241257,
-		"conf":                                 -10.339870,
-		"conf=":                                -9.646723,
-		"conference":                           -10.339870,
-		"config":                               -10.339870,
-		"configure":                            -10.339870,
-		"configured":                           -10.339870,
-		"consectetur":                          -10.339870,
-		"consequential":                        -10.339870,
-		"consistently":                         -10.339870,
-		"constrain":                            -10.339870,
-		"constrained":                          -8.548110,
-		"constructors":                         -7.854963,
-		"contact":                              -10.339870,
-		"contain":                              -10.339870,
-		"contains":                             -9.646723,
-		"content":                              -8.037285,
-		"contentMode=":                         -10.339870,
-		"contents":                             -9.241257,
-		"context=":                             -8.142645,
-		"contract":                             -10.339870,
-		"contract.":                            -9.646723,
-		"contrast":                             -10.339870,
-		"contributors":                         -9.646723,
-		"control":                              -10.339870,
-		"convenient.":                          -10.339870,
-		"convention":                           -9.646723,
-		"conversion":                           -10.339870,
-		"convert":                              -9.646723,
-		"copyright":                            -8.953575,
-		"core":                                 -10.339870,
-		"corpus":                               -9.646723,
-		"corr":                                 -9.241257,
-		"corresp":                              -10.339870,
-		"corresponding":                        -9.646723,
-		"corresponds":                          -10.339870,
-		"cost":                                 -9.646723,
-		"could":                                -9.646723,
-		"count":                                -7.941974,
-		"count=":                               -9.646723,
-		"coupled":                              -9.646723,
-		"cover":                                -9.646723,
-		"cpjPnFcT":                             -10.339870,
-		"cpp":                                  -7.941974,
-		"cq":                                   -10.339870,
-		"created":                              -8.953575,
-		"creating":                             -8.953575,
-		"cref=":                                -10.339870,
-		"csa":                                  -10.339870,
-		"csproj":                               -9.241257,
-		"csproj_sample":                        -10.339870,
-		"cu":                                   -10.339870,
-		"cuWH":                                 -10.339870,
-		"cur":                                  -10.339870,
-		"currencies":                           -10.339870,
-		"current":                              -7.631820,
-		"currently":                            -9.241257,
-		"cursive":                              -9.241257,
-		"custom":                               -8.953575,
-		"customClass=":                         -9.646723,
-		"customization":                        -8.953575,
-		"customizations":                       -9.646723,
-		"customizations.":                      -10.339870,
-		"customize":                            -10.339870,
-		"cx/":                                  -10.339870,
-		"cxx":                                  -10.339870,
-		"czYrC":                                -10.339870,
-		"d":                                    -6.511228,
-		"d.gml":                                -8.953575,
-		"d/":                                   -10.339870,
-		"d6dde5":                               -10.339870,
-		"dABowIYXxg":                           -10.339870,
-		"dBHjwHIgsdaSSmA":                      -10.339870,
-		"dBU":                                  -10.339870,
-		"dCLvBpPcHsf":                          -10.339870,
-		"dD":                                   -6.784522,
-		"dEOfKsfPeh":                           -10.339870,
-		"dETRQBmoVHnv":                         -10.339870,
-		"dGr":                                  -10.339870,
-		"dIH":                                  -10.339870,
-		"dJZEgAgX":                             -10.339870,
-		"dKuHpm":                               -10.339870,
-		"dLMMUHSiSXMEDJBGgRJESRFkBRB":          -10.339870,
-		"dNIsPeWcD/":                           -10.339870,
-		"dQ":                                   -10.339870,
-		"dR":                                   -10.339870,
-		"dTFte":                                -10.339870,
-		"dUSennNZLmI":                          -10.339870,
-		"dUWcSgrpv":                            -10.339870,
-		"dV":                                   -10.339870,
-		"dVLhTI":                               -10.339870,
-		"dVTfJWDtzwwgByQWEcJucRTE":             -10.339870,
-		"dWcXXEhjUkQXf":                        -10.339870,
-		"dWkYceL":                              -10.339870,
-		"dX/":                                  -10.339870,
-		"dZKgOSGzpBQIvsQZhbx":                  -10.339870,
-		"d_parent":                             -10.339870,
-		"d_particle":                           -10.339870,
-		"d_set_projection_simple.gml":          -10.339870,
-		"dabap":                                -10.339870,
-		"damage.":                              -10.339870,
-		"damages":                              -10.339870,
-		"dapibus":                              -8.548110,
-		"dashed":                               -10.339870,
-		"data":                                 -7.774920,
-		"datatype":                             -9.646723,
-		"date":                                 -10.339870,
-		"daunting":                             -10.339870,
-		"dbkNzCebm":                            -10.339870,
-		"ddMhYfHp":                             -10.339870,
-		"de":                                   -9.646723,
-		"deMuHU":                               -10.339870,
-		"deRZMRiGRsZymKJdcSlukFTey":            -10.339870,
-		"declare":                              -9.646723,
-		"declared":                             -10.339870,
-		"decorInit":                            -10.339870,
-		"decoration":                           -8.260428,
-		"deep":                                 -9.646723,
-		"def":                                  -10.339870,
-		"default":                              -7.941974,
-		"default.":                             -9.646723,
-		"defaultValue=":                        -9.646723,
-		"defaults":                             -10.339870,
-		"define":                               -10.339870,
-		"defined":                              -9.646723,
-		"deg":                                  -8.953575,
-		"degree":                               -10.339870,
-		"delay":                                -9.646723,
-		"delay.":                               -9.646723,
-		"delete":                               -9.646723,
-		"delimiter":                            -7.007665,
-		"delimiter=":                           -6.602200,
-		"dellorus.":                            -10.339870,
-		"delta_":                               -8.393960,
-		"demexp":                               -10.339870,
-		"demote":                               -10.339870,
-		"departure":                            -10.339870,
-		"dependencies":                         -10.339870,
-		"dependency":                           -10.339870,
-		"depending":                            -10.339870,
-		"depends":                              -10.339870,
-		"depersist":                            -10.339870,
-		"derive":                               -10.339870,
-		"describe":                             -10.339870,
-		"describes":                            -10.339870,
-		"description":                          -10.339870,
-		"description=":                         -8.953575,
-		"descriptive":                          -10.339870,
-		"descriptor=":                          -9.241257,
-		"design":                               -9.646723,
-		"designed":                             -10.339870,
-		"designer":                             -10.339870,
-		"desirable":                            -10.339870,
-		"destination=":                         -10.339870,
-		"detail_particle.d":                    -10.339870,
-		"details":                              -9.646723,
-		"determine":                            -10.339870,
-		"determined":                           -10.339870,
-		"developed":                            -10.339870,
-		"developer":                            -9.646723,
-		"dfd":                                  -10.339870,
-		"dgkZWVtUjkZGVt":                       -10.339870,
-		"dict_":                                -9.646723,
-		"dictate":                              -10.339870,
-		"dictionaries":                         -10.339870,
-		"dictionary":                           -10.339870,
-		"dictum":                               -10.339870,
-		"difference":                           -10.339870,
-		"differently":                          -10.339870,
-		"differing":                            -10.339870,
-		"digital":                              -9.241257,
-		"digitized":                            -10.339870,
-		"diplomatic":                           -10.339870,
-		"diqYCduhNy":                           -10.339870,
-		"dir":                                  -7.854963,
-		"direct":                               -10.339870,
-		"directly":                             -10.339870,
-		"disable":                              -10.339870,
-		"disableLights.gml":                    -10.339870,
-		"disabled":                             -10.339870,
-		"disambiguate":                         -10.339870,
-		"disambiguate=":                        -10.339870,
-		"discarded.":                           -8.953575,
-		"disciplines":                          -10.339870,
-		"disclaimed.":                          -10.339870,
-		"disclaimer":                           -10.339870,
-		"disclaimer.":                          -10.339870,
-		"disconnects":                          -10.339870,
-		"disk":                                 -10.339870,
-		"display":                              -8.548110,
-		"display=":                             -10.339870,
-		"displayName=":                         -9.646723,
-		"displaying":                           -10.339870,
-		"disposed":                             -8.953575,
-		"disposed.":                            -9.241257,
-		"distinct":                             -10.339870,
-		"distinguish":                          -7.854963,
-		"distribution.":                        -10.339870,
-		"diversity":                            -10.339870,
-		"dj":                                   -10.339870,
-		"dk":                                   -10.339870,
-		"dkqq":                                 -10.339870,
-		"dlg":                                  -10.339870,
-		"dll=":                                 -9.241257,
-		"dm/syEuBnIZ/FJk":                      -10.339870,
-		"dnHxXz":                               -10.339870,
-		"dnZnMhGdDb":                           -10.339870,
-		"do":                                   -8.730432,
-		"doZ":                                  -10.339870,
-		"document":                             -10.339870,
-		"documentation":                        -10.339870,
-		"documentation.":                       -10.339870,
-		"documents":                            -10.339870,
-		"does":                                 -9.646723,
-		"doesn":                                -9.646723,
-		"dolor":                                -10.339870,
-		"domain":                               -9.646723,
-		"don":                                  -9.646723,
-		"done":                                 -9.241257,
-		"dotnet":                               -10.339870,
-		"dotted":                               -9.241257,
-		"double":                               -9.646723,
-		"double_value_":                        -9.646723,
-		"doublestrikethrough":                  -10.339870,
-		"doubleunderline":                      -10.339870,
-		"down":                                 -10.339870,
-		"download":                             -10.339870,
-		"dq":                                   -10.339870,
-		"dqSxtvv":                              -10.339870,
-		"drIyMrY/oOTFScrKioqKioiwhFxcBWFVhQaU": -10.339870,
-		"draw_set_color":                       -10.339870,
-		"draw_text":                            -10.339870,
-		"drawing":                              -10.339870,
-		"driver":                               -10.339870,
-		"dropcap":                              -10.339870,
-		"dropping":                             -10.339870,
-		"dsSnFfZPDYYbh":                        -10.339870,
-		"dt":                                   -10.339870,
-		"dtOi":                                 -10.339870,
-		"dtfd":                                 -10.339870,
-		"dtsp":                                 -10.339870,
-		"du/WLA":                               -10.339870,
-		"dummy":                                -10.339870,
-		"duplicate":                            -9.646723,
-		"during":                               -10.339870,
-		"dvzljzpEHqTINVaFlVVvFtDutIx":          -10.339870,
-		"dw":                                   -10.339870,
-		"dxto":                                 -10.339870,
-		"dyLaqz":                               -10.339870,
-		"dzOBEHSD":                             -10.339870,
-		"dzvgD":                                -10.339870,
-		"e":                                    -7.449498,
-		"e/":                                   -10.339870,
-		"e/V":                                  -10.339870,
-		"eAHR":                                 -10.339870,
-		"eAraFFxrUh":                           -10.339870,
-		"eCk":                                  -10.339870,
-		"eDQwMDDQNDIwKqqisBZbOINKZRJGBZRlY":    -10.339870,
-		"eFK":                                  -10.339870,
-		"eHFnSwy":                              -10.339870,
-		"eHLDuBZ":                              -10.339870,
-		"eHlbMe":                               -10.339870,
-		"eIyc":                                 -10.339870,
-		"eJs":                                  -10.339870,
-		"eMO":                                  -10.339870,
-		"eMcMZP":                               -10.339870,
-		"ePtGvg":                               -10.339870,
-		"eSpGDNDAiPZFJmK":                      -10.339870,
-		"eTP/inB":                              -10.339870,
-		"eVHmVP":                               -10.339870,
-		"eWXzeRuTy":                            -10.339870,
-		"eXsNb":                                -10.339870,
-		"eYZKz":                                -10.339870,
-		"eZsl":                                 -10.339870,
-		"ea":                                   -8.953575,
-		"each":                                 -8.393960,
-		"early":                                -10.339870,
-		"easier":                               -10.339870,
-		"easily":                               -9.646723,
-		"easyant":                              -8.393960,
-		"ec":                                   -10.339870,
-		"ecVYf":                                -10.339870,
-		"ed":                                   -10.339870,
-		"edgXgYdwvQAJsbrFvdGUDu/QQYZOXPwj":     -10.339870,
-		"edition":                              -10.339870,
-		"editions":                             -10.339870,
-		"editor":                               -10.339870,
-		"eds":                                  -10.339870,
-		"edy":                                  -10.339870,
-		"ee":                                   -10.339870,
-		"efNeLi":                               -10.339870,
-		"efffa":                                -10.339870,
-		"efqk":                                 -10.339870,
-		"ei":                                   -10.339870,
-		"either":                               -9.646723,
-		"ejt":                                  -10.339870,
-		"el":                                   -10.339870,
-		"element":                              -8.953575,
-		"elements":                             -8.393960,
-		"elit":                                 -10.339870,
-		"else":                                 -8.730432,
-		"em":                                   -8.548110,
-		"empty":                                -8.953575,
-		"en":                                   -8.142645,
-		"enable":                               -10.339870,
-		"enableLights.gml":                     -10.339870,
-		"enabled":                              -8.037285,
-		"enabled.":                             -10.339870,
-		"enabled=":                             -5.511556,
-		"encoded":                              -8.393960,
-		"encoders":                             -10.339870,
-		"encoding":                             -9.241257,
-		"encoding.":                            -9.241257,
-		"encoding=":                            -6.077190,
-		"encountered":                          -10.339870,
-		"encyclopedia":                         -9.646723,
-		"endeavor.":                            -10.339870,
-		"engine":                               -10.339870,
-		"enormous":                             -10.339870,
-		"enough":                               -10.339870,
-		"enough.":                              -10.339870,
-		"enrich":                               -10.339870,
-		"enrollments.":                         -10.339870,
-		"ensure":                               -9.241257,
-		"ensuring":                             -9.646723,
-		"ent":                                  -6.408044,
-		"enter":                                -9.646723,
-		"entire":                               -10.339870,
-		"entity":                               -10.339870,
-		"entries":                              -9.646723,
-		"entry":                                -7.941974,
-		"enumb=":                               -8.730432,
-		"environment":                          -10.339870,
-		"environment.":                         -10.339870,
-		"eoC":                                  -10.339870,
-		"eogWeP":                               -10.339870,
-		"epigraphic":                           -10.339870,
-		"eq":                                   -9.646723,
-		"eqWLuUbZ":                             -10.339870,
-		"eqdlq":                                -10.339870,
-		"equal":                                -9.646723,
-		"equivalent":                           -9.241257,
-		"equivalently":                         -10.339870,
-		"eschewing":                            -10.339870,
-		"especially":                           -10.339870,
-		"et":                                   -6.555680,
-		"eu":                                   -10.339870,
-		"ev":                                   -10.339870,
-		"evaluate":                             -10.339870,
-		"evaluated":                            -10.339870,
-		"even":                                 -10.339870,
-		"event":                                -10.339870,
-		"events.":                              -9.646723,
-		"eventtype=":                           -8.730432,
-		"everybody":                            -10.339870,
-		"evicted":                              -9.646723,
-		"ewJhR/D":                              -10.339870,
-		"examining":                            -10.339870,
-		"example":                              -9.241257,
-		"exbix":                                -10.339870,
-		"except":                               -10.339870,
-		"exchanged.":                           -10.339870,
-		"execution":                            -10.339870,
-		"exemplary":                            -10.339870,
-		"exist":                                -8.730432,
-		"existing":                             -8.953575,
-		"exists":                               -7.854963,
-		"expan":                                -8.953575,
-		"expected":                             -10.339870,
-		"expensive":                            -9.646723,
-		"explainText=":                         -10.339870,
-		"explicit":                             -10.339870,
-		"explicitly":                           -10.339870,
-		"exposes":                              -10.339870,
-		"express":                              -10.339870,
-		"expression":                           -9.241257,
-		"expression.":                          -10.339870,
-		"expressive":                           -10.339870,
-		"extended":                             -10.339870,
-		"extensible.":                          -10.339870,
-		"extension":                            -8.393960,
-		"extensionOf=":                         -10.339870,
-		"extensive":                            -10.339870,
-		"extraordinary":                        -10.339870,
-		"extremely":                            -10.339870,
-		"eyk":                                  -10.339870,
-		"ezLnBQLMkkgNWR":                       -10.339870,
-		"ezdlQvVNUrCJS":                        -10.339870,
-		"f":                                    -9.646723,
-		"f.HasAttribute":                       -10.339870,
-		"f.Name":                               -10.339870,
-		"f.ParentType.DeriveFrom":              -10.339870,
-		"fa8739":                               -7.700812,
-		"facebook":                             -10.339870,
-		"facilitates":                          -10.339870,
-		"facsimile":                            -10.339870,
-		"fail.":                                -10.339870,
-		"fake":                                 -8.953575,
-		"faking":                               -8.953575,
-		"false":                                -7.295347,
-		"family":                               -7.631820,
-		"fantasy":                              -10.339870,
-		"far":                                  -9.646723,
-		"fashion.":                             -10.339870,
-		"faster":                               -9.646723,
-		"features":                             -10.339870,
-		"feel":                                 -10.339870,
-		"felis":                                -9.241257,
-		"fern.d":                               -10.339870,
-		"fern.png":                             -10.339870,
-		"fetch":                                -10.339870,
-		"feugiat":                              -8.730432,
-		"few":                                  -10.339870,
-		"fff":                                  -8.730432,
-		"ffffff":                               -10.339870,
-		"field":                                -8.037285,
-		"field.":                               -10.339870,
-		"field=":                               -10.339870,
-		"file":                                 -8.393960,
-		"file.":                                -10.339870,
-		"filePath":                             -9.646723,
-		"filePath.ToString":                    -10.339870,
-		"filePath=":                            -6.650990,
-		"filePathLower":                        -10.339870,
-		"filePathLower.Contains":               -10.339870,
-		"filePathLower.EndsWithAny":            -10.339870,
-		"filename=":                            -7.567281,
-		"files":                                -9.646723,
-		"filled":                               -10.339870,
-		"filters":                              -10.339870,
-		"find_height.gml":                      -10.339870,
-		"finishes.":                            -10.339870,
-		"fire":                                 -7.941974,
-		"fire.d":                               -10.339870,
-		"fire_":                                -10.339870,
-		"firehay.d":                            -10.339870,
-		"fires":                                -8.548110,
-		"firewood":                             -10.339870,
-		"firewood.d":                           -10.339870,
-		"firewood.png":                         -10.339870,
-		"first":                                -5.957843,
-		"fitness":                              -10.339870,
-		"five":                                 -10.339870,
-		"fixed":                                -10.339870,
-		"flOQo":                                -10.339870,
-		"flags=":                               -9.646723,
-		"flat":                                 -10.339870,
-		"flattened":                            -9.646723,
-		"flexibility":                          -10.339870,
-		"flexibleMaxX=":                        -10.339870,
-		"flexibleMaxY=":                        -10.339870,
-		"flight":                               -9.646723,
-		"float":                                -9.241257,
-		"floral":                               -9.646723,
-		"flying_fuzzy.png":                     -10.339870,
-		"focusIndex=":                          -10.339870,
-		"focuses":                              -10.339870,
-		"focusing":                             -10.339870,
-		"folder":                               -10.339870,
-		"follow":                               -10.339870,
-		"followed":                             -10.339870,
-		"following":                            -8.730432,
-		"font":                                 -5.569185,
-		"foot":                                 -10.339870,
-		"for":                                  -5.818081,
-		"forTests=":                            -10.339870,
-		"form":                                 -9.241257,
-		"form=":                                -6.165482,
-		"formally":                             -10.339870,
-		"format":                               -8.953575,
-		"format=":                              -6.938672,
-		"formats":                              -10.339870,
-		"forms":                                -9.646723,
-		"found.":                               -10.339870,
-		"fps":                                  -10.339870,
-		"framework":                            -10.339870,
-		"framework.":                           -10.339870,
-		"free":                                 -9.646723,
-		"friendlier":                           -10.339870,
-		"from":                                 -7.081773,
-		"frustum_culling.gml":                  -10.339870,
-		"frustum_culling_init.gml":             -10.339870,
-		"fsproj":                               -10.339870,
-		"fsproj_sample":                        -9.646723,
-		"fsproj_sample.XML":                    -9.646723,
-		"full":                                 -8.393960,
-		"fully":                                -9.241257,
-		"function":                             -7.700812,
-		"function.":                            -8.548110,
-		"function=":                            -10.339870,
-		"functx":                               -9.646723,
-		"furniture":                            -9.646723,
-		"further":                              -9.646723,
-		"future":                               -9.646723,
-		"fx":                                   -9.646723,
-		"fxml":                                 -10.339870,
-		"g":                                    -7.774920,
-		"g/qcELLFPuOG":                         -10.339870,
-		"gAQRbCyADx":                           -10.339870,
-		"gBeWvCOlJdQ":                          -10.339870,
-		"gJ":                                   -10.339870,
-		"gJR":                                  -10.339870,
-		"gJsOyi":                               -10.339870,
-		"gJvgXzjufU":                           -10.339870,
-		"gK":                                   -10.339870,
-		"gKAc":                                 -10.339870,
-		"gKBTs":                                -10.339870,
-		"gLcIvGtBr/Efr":                        -10.339870,
-		"gLk":                                  -10.339870,
-		"gNggJuQQknMFqXFLyCF":                  -10.339870,
-		"gOQNdCby":                             -10.339870,
-		"gORHHCSD":                             -10.339870,
-		"gOcj/M":                               -10.339870,
-		"gOo":                                  -10.339870,
-		"gSQ":                                  -10.339870,
-		"gStr":                                 -10.339870,
-		"gTXyLisFvzcgoNjXY":                    -10.339870,
-		"gUOxSHbKs":                            -10.339870,
-		"gVQZRpeBiuro":                         -10.339870,
-		"gXyTF":                                -10.339870,
-		"gXzwynIh":                             -10.339870,
-		"gYOC":                                 -10.339870,
-		"gYRdjGR":                              -10.339870,
-		"gaGhqaGgYGGpoaBgaGBvbASSmpTgVRFWCpAZFiYMkGkRxgsKDAIGBBgMGRd": -10.339870,
-		"gaq":                                   -10.339870,
-		"gbcNHPLET":                             -10.339870,
-		"gbdoHLh":                               -10.339870,
-		"gcc":                                   -8.037285,
-		"geAlGBmEBM":                            -10.339870,
-		"generally":                             -9.646723,
-		"generate":                              -10.339870,
-		"generated":                             -9.646723,
-		"generation":                            -9.646723,
-		"generic":                               -9.241257,
-		"genre":                                 -10.339870,
-		"geography":                             -10.339870,
-		"geometry":                              -10.339870,
-		"getEffectTexture.gml":                  -10.339870,
-		"getEffectTextureAlpha.gml":             -10.339870,
-		"getLightId.gml":                        -10.339870,
-		"getTexture.gml":                        -10.339870,
-		"gets":                                  -10.339870,
-		"gfLYisADEpQE":                          -10.339870,
-		"ghRM/wHEaAExgRusPml":                   -10.339870,
-		"ghyJjzac":                              -10.339870,
-		"gif":                                   -10.339870,
-		"give":                                  -10.339870,
-		"given":                                 -7.700812,
-		"givenname":                             -10.339870,
-		"gkG":                                   -10.339870,
-		"glPrxXEmtGXYjdvOL":                     -10.339870,
-		"global":                                -10.339870,
-		"global._GMO_DEVICE_NAME":               -10.339870,
-		"global._GMO_DLL_VERSION":               -10.339870,
-		"gm":                                    -10.339870,
-		"gml":                                   -8.393960,
-		"gnHe":                                  -10.339870,
-		"gnZO":                                  -10.339870,
-		"gnkuXAgMcajhSEjMht":                    -10.339870,
-		"go":                                    -9.646723,
-		"goals":                                 -10.339870,
-		"going":                                 -8.953575,
-		"goods":                                 -10.339870,
-		"google":                                -9.646723,
-		"gothic":                                -10.339870,
-		"gp":                                    -10.339870,
-		"gprp":                                  -10.339870,
-		"gq":                                    -10.339870,
-		"gr":                                    -10.339870,
-		"grantshubsupport@cabinetoffice.gov.uk": -10.339870,
-		"graphic":                               -10.339870,
-		"grass_hires.png":                       -10.339870,
-		"gray":                                  -8.953575,
-		"green=":                                -10.339870,
-		"gro":                                   -10.339870,
-		"gt":                                    -7.204376,
-		"gtOv/":                                 -10.339870,
-		"gtZoVnT/":                              -10.339870,
-		"guarantees":                            -8.548110,
-		"gwx":                                   -10.339870,
-		"gxKCI":                                 -10.339870,
-		"gxyexwkSNtnJ":                          -10.339870,
-		"h":                                     -8.260428,
-		"hACgcdUQb":                             -10.339870,
-		"hBB":                                   -10.339870,
-		"hBW":                                   -10.339870,
-		"hCNtmwyOzKnII":                         -10.339870,
-		"hCnKggEuMlAkjovmDtMrIRKfK":             -10.339870,
-		"hEYpGVSCwSOYmsPVnZTF":                  -10.339870,
-		"hF":                                    -10.339870,
-		"hFEgzV":                                -10.339870,
-		"hFiLCTqEUBzj":                          -10.339870,
-		"hGdRf/Az":                              -10.339870,
-		"hGjpmujN":                              -10.339870,
-		"hH":                                    -10.339870,
-		"hHGEmDrXJaJlrQ":                        -10.339870,
-		"hLiKvQo/x":                             -10.339870,
-		"hMProfnAk":                             -10.339870,
-		"hNubMGfZkgAZq":                         -10.339870,
-		"hOxDNDqIZ":                             -10.339870,
-		"hPXfsJpR":                              -10.339870,
-		"hQiRqPNEe/sbLvX":                       -10.339870,
-		"hR":                                    -10.339870,
-		"hRmHuDNJL/fsgNr":                       -10.339870,
-		"hXRNJg/FVLrj/G":                        -10.339870,
-		"hZDHBNEJqHKM":                          -10.339870,
-		"hamburger=":                            -10.339870,
-		"hanging":                               -10.339870,
-		"has":                                   -7.295347,
-		"hasellus":                              -10.339870,
-		"have":                                  -7.248827,
-		"having":                                -10.339870,
-		"hay.png":                               -10.339870,
-		"hbbA":                                  -10.339870,
-		"hbhwSJi":                               -10.339870,
-		"hbt":                                   -10.339870,
-		"hd":                                    -10.339870,
-		"hdHYkpjrbGPOzk":                        -10.339870,
-		"head":                                  -8.142645,
-		"header":                                -8.548110,
-		"header_":                               -9.646723,
-		"header_size_":                          -9.646723,
-		"headers":                               -10.339870,
-		"height":                                -7.700812,
-		"height=":                               -8.953575,
-		"height_":                               -10.339870,
-		"hello":                                 -10.339870,
-		"help":                                  -10.339870,
-		"help.rtf":                              -10.339870,
-		"helper":                                -8.730432,
-		"helps":                                 -10.339870,
-		"here":                                  -9.646723,
-		"here.":                                 -9.646723,
-		"heuristically":                         -10.339870,
-		"hfhTm":                                 -10.339870,
-		"hgXx":                                  -10.339870,
-		"hgfezwvcR":                             -10.339870,
-		"hh":                                    -10.339870,
-		"hhsTlIFkLQgjIHkd":                      -10.339870,
-		"high":                                  -10.339870,
-		"highly":                                -10.339870,
-		"hjAKkjOFLt":                            -10.339870,
-		"hkn":                                   -10.339870,
-		"hl7":                                   -10.339870,
-		"hlCCPFfN":                              -10.339870,
-		"hm":                                    -10.339870,
-		"hmUvNVOD":                              -10.339870,
-		"hmZ":                                   -10.339870,
-		"hoCt":                                  -10.339870,
-		"holder":                                -10.339870,
-		"holders":                               -10.339870,
-		"home":                                  -10.339870,
-		"hood":                                  -10.339870,
-		"how":                                   -9.646723,
-		"however":                               -9.241257,
-		"hpj":                                   -10.339870,
-		"hpp":                                   -10.339870,
-		"hqq":                                   -10.339870,
-		"hr":                                    -10.339870,
-		"href":                                  -9.241257,
-		"href=":                                 -7.007665,
-		"hs":                                    -10.339870,
-		"ht":                                    -10.339870,
-		"html":                                  -8.142645,
-		"http":                                  -7.044033,
-		"https":                                 -9.646723,
-		"hufc":                                  -10.339870,
-		"human":                                 -9.646723,
-		"humanities":                            -10.339870,
-		"hundreds":                              -9.646723,
-		"hwL":                                   -10.339870,
-		"hxx":                                   -10.339870,
-		"hyLOKh":                                -10.339870,
-		"hyphen":                                -10.339870,
-		"i":                                     -8.037285,
-		"i.e.":                                  -7.204376,
-		"i/":                                    -10.339870,
-		"i//":                                   -10.339870,
-		"iAj":                                   -10.339870,
-		"iBSDSDaw":                              -10.339870,
-		"iD":                                    -10.339870,
-		"iEENEMEziIPMoooJHsGkSiMEHwCUpHgPRBGnQlcpcglY": -10.339870,
-		"iFiFSoMoG":                              -10.339870,
-		"iHZ":                                    -10.339870,
-		"iIdIYMGkvktSDLM":                        -10.339870,
-		"iIfguA":                                 -10.339870,
-		"iIqCZl":                                 -10.339870,
-		"iJ":                                     -10.339870,
-		"iJc":                                    -10.339870,
-		"iKKUUG":                                 -10.339870,
-		"iKqBHSaNNuW":                            -10.339870,
-		"iLcrZVmI":                               -10.339870,
-		"iOSBSAiI":                               -10.339870,
-		"iP":                                     -10.339870,
-		"iQIqkBiYXIM":                            -10.339870,
-		"iRNV":                                   -10.339870,
-		"iRx/T":                                  -10.339870,
-		"iTOQFMzsMROMAcKSU":                      -10.339870,
-		"iUyWRK":                                 -10.339870,
-		"iVBORw":                                 -6.938672,
-		"iVyA":                                   -10.339870,
-		"iWAqN":                                  -10.339870,
-		"iXMGkVaTOh":                             -10.339870,
-		"iYCQ/Wz":                                -10.339870,
-		"iYPD//rVZ/GsiszWS":                      -10.339870,
-		"ia":                                     -10.339870,
-		"ici":                                    -10.339870,
-		"ico":                                    -9.646723,
-		"id":                                     -6.468669,
-		"id=":                                    -4.941707,
-		"ideas":                                  -10.339870,
-		"ident=":                                 -4.859231,
-		"identical":                              -7.941974,
-		"identifier=":                            -9.646723,
-		"idl":                                    -10.339870,
-		"ie":                                     -10.339870,
-		"ies":                                    -10.339870,
-		"if":                                     -6.874134,
-		"ifXrUnXAoBo/RePsBmbc":                   -10.339870,
-		"ignored.":                               -10.339870,
-		"igpN":                                   -10.339870,
-		"iiyP":                                   -10.339870,
-		"ijs":                                    -10.339870,
-		"ikO":                                    -10.339870,
-		"ilGQmBabYvf":                            -10.339870,
-		"image":                                  -10.339870,
-		"img":                                    -9.646723,
-		"immediately":                            -9.241257,
-		"impaYVg":                                -10.339870,
-		"imperdiet":                              -10.339870,
-		"imperdiet.":                             -8.730432,
-		"impl_.body_":                            -8.953575,
-		"implement":                              -8.730432,
-		"implementing":                           -9.646723,
-		"implements":                             -8.260428,
-		"implied":                                -9.646723,
-		"important":                              -8.548110,
-		"imr":                                    -10.339870,
-		"in":                                     -5.775522,
-		"inCamera.gml":                           -10.339870,
-		"inc":                                    -10.339870,
-		"incidental":                             -10.339870,
-		"include":                                -10.339870,
-		"including":                              -8.953575,
-		"incorrectly":                            -10.339870,
-		"indent":                                 -10.339870,
-		"indicates":                              -10.339870,
-		"indirect":                               -10.339870,
-		"information":                            -10.339870,
-		"infrastructure":                         -10.339870,
-		"inherit":                                -9.646723,
-		"inheritsScope=":                         -10.339870,
-		"inheritsSet=":                           -10.339870,
-		"init":                                   -9.646723,
-		"initLights.gml":                         -10.339870,
-		"initial":                                -7.007665,
-		"initialize":                             -9.241257,
-		"initialize=":                            -9.646723,
-		"initialized":                            -9.646723,
-		"initio":                                 -10.339870,
-		"inl":                                    -10.339870,
-		"inline":                                 -9.646723,
-		"input":                                  -9.646723,
-		"inside":                                 -8.730432,
-		"instance":                               -8.548110,
-		"instanceId=":                            -8.953575,
-		"instead":                                -9.646723,
-		"int":                                    -8.730432,
-		"int_value_":                             -9.646723,
-		"integer":                                -9.241257,
-		"integration.":                           -10.339870,
-		"intend":                                 -10.339870,
-		"intended":                               -8.548110,
-		"intends":                                -10.339870,
-		"interface":                              -8.730432,
-		"internal":                               -10.339870,
-		"interoperability":                       -10.339870,
-		"interoperability.":                      -10.339870,
-		"interruption":                           -10.339870,
-		"interview":                              -10.339870,
-		"into":                                   -8.730432,
-		"introductory":                           -10.339870,
-		"invoke":                                 -8.953575,
-		"io":                                     -10.339870,
-		"ipb":                                    -10.339870,
-		"ipsum":                                  -10.339870,
-		"irrelevant.":                            -10.339870,
-		"is":                                     -5.302917,
-		"isTestSource=":                          -9.646723,
-		"isVZQ":                                  -10.339870,
-		"issue":                                  -9.646723,
-		"it":                                     -6.905883,
-		"it.":                                    -10.339870,
-		"itEsskgrxDVPRILeAXFl":                   -10.339870,
-		"itFzpEPXkhjb":                           -10.339870,
-		"itIs":                                   -10.339870,
-		"ital":                                   -10.339870,
-		"italic":                                 -6.728952,
-		"italics":                                -10.339870,
-		"itbfhQCPbC":                             -10.339870,
-		"item":                                   -7.395431,
-		"item.":                                  -9.241257,
-		"items":                                  -7.044033,
-		"its":                                    -8.393960,
-		"itself":                                 -9.646723,
-		"ixsxVCR":                                -10.339870,
-		"izFhgopAVMdnttHcq":                      -10.339870,
-		"izGTLxFiBZOWR":                          -10.339870,
-		"j":                                      -7.700812,
-		"j/INJbGZFfSy":                           -10.339870,
-		"jABzF":                                  -10.339870,
-		"jCfI":                                   -10.339870,
-		"jCm":                                    -10.339870,
-		"jCnpwduAPZMvaCz":                        -10.339870,
-		"jEOxvx":                                 -10.339870,
-		"jFMAiKb":                                -10.339870,
-		"jFmrGFBMPDk":                            -10.339870,
-		"jKdWQEqB":                               -10.339870,
-		"jNsBJyAhCyh":                            -10.339870,
-		"jO":                                     -9.646723,
-		"jOL":                                    -10.339870,
-		"jPwzYlj":                                -10.339870,
-		"jQBDtp":                                 -10.339870,
-		"jQyOTuLcaiNO":                           -10.339870,
-		"jTUTu":                                  -10.339870,
-		"jUBDsJwQGmhoaGpoWBhoGhgYGGp":            -10.339870,
-		"jVZuqtJtW":                              -10.339870,
-		"jVrBa":                                  -10.339870,
-		"jW":                                     -10.339870,
-		"jWCZK":                                  -10.339870,
-		"jWqnhRT":                                -10.339870,
-		"jYEIVdvQc":                              -10.339870,
-		"jYWUxHS":                                -10.339870,
-		"jZNiMqJJWVyt":                           -10.339870,
-		"janmPczUK":                              -10.339870,
-		"java":                                   -9.646723,
-		"javafx":                                 -8.953575,
-		"jbXuQNvAXFLFpzAmJ":                      -10.339870,
-		"jcI":                                    -10.339870,
-		"jdwPuATYmXfuUh":                         -10.339870,
-		"jeJgqoygWaekkQxbQOKOpnV":                -10.339870,
-		"jenitennison":                           -10.339870,
-		"jfRvPET/":                               -10.339870,
-		"jg":                                     -10.339870,
-		"jiW":                                    -10.339870,
-		"jj":                                     -10.339870,
-		"jjtmQvepmgE":                            -10.339870,
-		"jkDPNdf":                                -10.339870,
-		"jkMRwPARx":                              -10.339870,
-		"jki":                                    -10.339870,
-		"jl":                                     -10.339870,
-		"jlC":                                    -10.339870,
-		"jlGoXmA":                                -10.339870,
-		"jn":                                     -10.339870,
-		"join":                                   -10.339870,
-		"journal":                                -10.339870,
-		"jpe":                                    -10.339870,
-		"jpeg":                                   -10.339870,
-		"jpg":                                    -10.339870,
-		"jpgok":                                  -10.339870,
-		"jqLqOZUABlFViZ":                         -10.339870,
-		"jtWtWAWSBwWmVBG":                        -10.339870,
-		"juL":                                    -10.339870,
-		"jupMo":                                  -10.339870,
-		"just":                                   -10.339870,
-		"jvJWBrlVQSHWaIv":                        -10.339870,
-		"jvTWkt":                                 -10.339870,
-		"jw/":                                    -10.339870,
-		"jyk":                                    -10.339870,
-		"jzlQTFRN":                               -10.339870,
-		"k":                                      -8.037285,
-		"kAzhuUeg":                               -10.339870,
-		"kBsBRznisUr":                            -10.339870,
-		"kCiHfm/":                                -10.339870,
-		"kDBmaOqczXoXgTz":                        -10.339870,
-		"kES":                                    -10.339870,
-		"kFNlUBAQKQaRHBDJBpEcELDggMGBBQELSqbvza": -10.339870,
-		"kFovYp":                                 -10.339870,
-		"kHrNL":                                  -10.339870,
-		"kHxtHdYFCrvY":                           -10.339870,
-		"kKSw/ufSTdWakwceU":                      -10.339870,
-		"kL":                                     -10.339870,
-		"kLJV":                                   -10.339870,
-		"kMicrosecondsPerDay":                    -10.339870,
-		"kMicrosecondsPerHour":                   -10.339870,
-		"kMicrosecondsPerMillisecond":            -10.339870,
-		"kMicrosecondsPerMinute":                 -10.339870,
-		"kMicrosecondsPerSecond":                 -10.339870,
-		"kN":                                     -10.339870,
-		"kNAhVXLyYRIk":                           -10.339870,
-		"kOKvzMRmPhiRYF":                         -10.339870,
-		"kQ":                                     -9.646723,
-		"kQM":                                    -10.339870,
-		"kSvJGSvgTNN":                            -10.339870,
-		"kSyvA":                                  -10.339870,
-		"kU":                                     -10.339870,
-		"kXQWWLZmS":                              -10.339870,
-		"kXhXBjGP":                               -10.339870,
-		"kY":                                     -10.339870,
-		"kYkIJ":                                  -10.339870,
-		"kZ":                                     -10.339870,
-		"kZOzF":                                  -10.339870,
-		"kbexcB/AEhbiVW/ps":                      -10.339870,
-		"kc":                                     -10.339870,
-		"kcO":                                    -10.339870,
-		"kdBaoozyqKfnc":                          -10.339870,
-		"keep":                                   -10.339870,
-		"keepEnvironmentInBuildfile=":            -9.646723,
-		"key":                                    -7.449498,
-		"key=":                                   -6.332537,
-		"keyboard":                               -10.339870,
-		"keyboard_check_direct":                  -9.646723,
-		"keyword.":                               -9.646723,
-		"kghGyBgpzbVujW":                         -10.339870,
-		"kgqc":                                   -10.339870,
-		"kijXbzIc":                               -10.339870,
-		"kimU":                                   -10.339870,
-		"kind=":                                  -8.548110,
-		"kinds":                                  -10.339870,
-		"kirTZ":                                  -10.339870,
-		"kj":                                     -10.339870,
-		"kjvNgDwAErjxuj":                         -10.339870,
-		"kkqzhHnBjSmbROKYEbGWGEeado":             -10.339870,
-		"kl":                                     -10.339870,
-		"kmQEcgoTXRedObsY":                       -10.339870,
-		"knnkBYSnEADRwKS":                        -10.339870,
-		"koXabRrOTvvN":                           -10.339870,
-		"kq":                                     -10.339870,
-		"kqvhICCph":                              -10.339870,
-		"krCRZCQXDiY":                            -10.339870,
-		"ksEB":                                   -10.339870,
-		"ksWFrFIgWEcixhHAVXq":                    -10.339870,
-		"kt/":                                    -10.339870,
-		"ktlH":                                   -10.339870,
-		"kuYZVSOcdF":                             -10.339870,
-		"kvFNwPai":                               -10.339870,
-		"kvFTSSvIGnsQjiqTSIN":                    -10.339870,
-		"kxyKQEDqPERwBiPs/qvBZzU/tqaTmqNUUvNge":  -10.339870,
-		"kyz":                                    -10.339870,
-		"l":                                      -10.339870,
-		"lEI":                                    -10.339870,
-		"lFpSTpWMx":                              -10.339870,
-		"lIoAAAAASUVORK":                         -10.339870,
-		"label":                                  -8.548110,
-		"label=":                                 -9.646723,
-		"lang":                                   -9.241257,
-		"language":                               -9.646723,
-		"language=":                              -9.646723,
-		"laoreet.":                               -8.393960,
-		"large":                                  -10.339870,
-		"largely":                                -10.339870,
-		"larger":                                 -9.646723,
-		"last":                                   -7.449498,
-		"layout":                                 -10.339870,
-		"layoutMaster":                           -10.339870,
-		"leak":                                   -9.646723,
-		"learned":                                -10.339870,
-		"leave":                                  -8.037285,
-		"leaves.png":                             -10.339870,
-		"left":                                   -6.578670,
-		"left=":                                  -10.339870,
-		"leftbraced":                             -10.339870,
-		"legal_case":                             -10.339870,
-		"legislation":                            -10.339870,
-		"lengthdir_all_":                         -10.339870,
-		"lengthdir_x_":                           -10.339870,
-		"lengthdir_y_":                           -10.339870,
-		"lengthdir_z_":                           -10.339870,
-		"lengthdr":                               -10.339870,
-		"lessons":                                -10.339870,
-		"let":                                    -9.241257,
-		"letter":                                 -10.339870,
-		"letterspace":                            -10.339870,
-		"level":                                  -9.241257,
-		"level=":                                 -10.339870,
-		"liability":                              -9.646723,
-		"liable":                                 -10.339870,
-		"liberty":                                -10.339870,
-		"licence":                                -9.646723,
-		"licences.":                              -10.339870,
-		"license=":                               -10.339870,
-		"licensed":                               -9.646723,
-		"life":                                   -10.339870,
-		"like":                                   -8.953575,
-		"limit":                                  -8.730432,
-		"limited":                                -8.953575,
-		"line":                                   -7.506656,
-		"line=":                                  -7.567281,
-		"line_col.gml":                           -10.339870,
-		"linguistic":                             -9.646723,
-		"lining":                                 -10.339870,
-		"lining.":                                -10.339870,
-		"list":                                   -8.953575,
-		"list.":                                  -9.646723,
-		"list_":                                  -9.646723,
-		"listed":                                 -10.339870,
-		"listen":                                 -8.548110,
-		"lists":                                  -10.339870,
-		"literature":                             -10.339870,
-		"loadModels.gml":                         -10.339870,
-		"loadTextures.gml":                       -10.339870,
-		"loadable":                               -10.339870,
-		"loaded":                                 -9.241257,
-		"loader/saver":                           -10.339870,
-		"log":                                    -9.646723,
-		"logger":                                 -9.646723,
-		"logo":                                   -9.646723,
-		"long":                                   -9.646723,
-		"loosely":                                -9.646723,
-		"lorem":                                  -8.393960,
-		"loss":                                   -10.339870,
-		"love":                                   -10.339870,
-		"low":                                    -10.339870,
-		"ls":                                     -10.339870,
-		"lsCisjMp":                               -10.339870,
-		"lt":                                     -8.393960,
-		"m":                                      -6.756351,
-		"m.HasAttribute":                         -10.339870,
-		"m.NbLinesOfCode":                        -10.339870,
-		"m.SourceDecls.First":                    -10.339870,
-		"m.SourceFileDeclAvailable":              -10.339870,
-		"m/dYWSjAjmMqP":                          -10.339870,
-		"mCBQ":                                   -10.339870,
-		"mDcvV":                                  -10.339870,
-		"mDsBLacCLfUeY":                          -10.339870,
-		"mF":                                     -10.339870,
-		"mHASIhbAb":                              -10.339870,
-		"mIs":                                    -10.339870,
-		"mLhXm":                                  -10.339870,
-		"mLlW":                                   -10.339870,
-		"mMmt":                                   -10.339870,
-		"mNOAqbTRv":                              -10.339870,
-		"mNgGAWjYBSMglEwCkbBSAcACBAAAb":          -9.646723,
-		"mNgGKpgztI":                             -10.339870,
-		"mOrGkCBIUIROEIEiKICmCpAiSTiAqJhAT/Rfevu/BwUZGlv": -10.339870,
-		"mPFFyvT":                             -10.339870,
-		"mPJmBxKwbv/Wf":                       -10.339870,
-		"mQpkOVaxjNFzLdEXjiAtYtylP":           -10.339870,
-		"mRVjMZW":                             -10.339870,
-		"mRcVUPgpoAiK":                        -10.339870,
-		"mRjuDIAwYKcvUALAHhK":                 -10.339870,
-		"mTjRczSuoEKunY":                      -10.339870,
-		"mUjltWl":                             -10.339870,
-		"mVEhkMuWYdVeZGQTrtCZTDqz":            -10.339870,
-		"mVq":                                 -10.339870,
-		"mWvkr":                               -10.339870,
-		"mXe":                                 -10.339870,
-		"mYsgC":                               -10.339870,
-		"mYsgFDzUcpODGN":                      -10.339870,
-		"mZw":                                 -10.339870,
-		"machine":                             -10.339870,
-		"macro=":                              -5.363136,
-		"made":                                -9.646723,
-		"magazine":                            -10.339870,
-		"maintain":                            -10.339870,
-		"maintained":                          -10.339870,
-		"major":                               -10.339870,
-		"majors":                              -10.339870,
-		"make":                                -8.953575,
-		"making":                              -9.241257,
-		"manage":                              -10.339870,
-		"managed":                             -10.339870,
-		"managedBuildOn=":                     -9.646723,
-		"manipulating":                        -10.339870,
-		"manually":                            -8.953575,
-		"manuscript":                          -9.646723,
-		"many":                                -8.953575,
-		"mapping":                             -10.339870,
-		"maps":                                -10.339870,
-		"maqdQg":                              -10.339870,
-		"marg1":                               -10.339870,
-		"marg2":                               -10.339870,
-		"marg4":                               -10.339870,
-		"margin":                              -9.646723,
-		"markup":                              -10.339870,
-		"martin@refme.com":                    -10.339870,
-		"master":                              -10.339870,
-		"match=":                              -5.897219,
-		"matches":                             -10.339870,
-		"material":                            -10.339870,
-		"materials":                           -10.339870,
-		"mathematical":                        -9.646723,
-		"maxConcurrent":                       -10.339870,
-		"maxHeight=":                          -10.339870,
-		"maxOccurs=":                          -10.339870,
-		"maxWidth=":                           -10.339870,
-		"maximum":                             -9.646723,
-		"may":                                 -8.953575,
-		"mbQdVV":                              -10.339870,
-		"mcaB":                                -10.339870,
-		"me":                                  -9.646723,
-		"mean":                                -10.339870,
-		"means":                               -10.339870,
-		"media":                               -10.339870,
-		"meeting":                             -10.339870,
-		"meets":                               -10.339870,
-		"memoization":                         -9.646723,
-		"memoized":                            -10.339870,
-		"memoizes":                            -9.646723,
-		"memoizing":                           -9.646723,
-		"merchantability":                     -10.339870,
-		"message":                             -6.938672,
-		"message.":                            -10.339870,
-		"messages":                            -7.248827,
-		"met":                                 -10.339870,
-		"method":                              -6.813509,
-		"method.":                             -9.646723,
-		"methods.":                            -9.646723,
-		"metus":                               -8.393960,
-		"mf":                                  -10.339870,
-		"mfE":                                 -10.339870,
-		"mfcribbon":                           -10.339870,
-		"mfmtmVPvDj":                          -10.339870,
-		"microsoft":                           -9.241257,
-		"microsoft.net.object.binary.base":    -9.646723,
-		"microsoft.net.object.bytearray.base": -10.339870,
-		"microsoft.net.object.soap.base":      -10.339870,
-		"middle":                              -8.548110,
-		"mime":                                -9.646723,
-		"mimetype":                            -8.548110,
-		"mimetype.":                           -10.339870,
-		"mimetype=":                           -9.646723,
-		"min":                                 -7.631820,
-		"minHeight=":                          -10.339870,
-		"minOccurs=":                          -9.241257,
-		"minRequiredRevision=":                -10.339870,
-		"minWidth=":                           -9.646723,
-		"mirror":                              -10.339870,
-		"misassigned":                         -10.339870,
-		"mix":                                 -9.241257,
-		"mjYCSq":                              -10.339870,
-		"mjml":                                -10.339870,
-		"mk":                                  -8.730432,
-		"mk/Ju/":                              -10.339870,
-		"mmEup":                               -10.339870,
-		"mml":                                 -10.339870,
-		"mnemonicParsing=":                    -10.339870,
-		"mock":                                -8.953575,
-		"mod_to_triList.gml":                  -10.339870,
-		"mode":                                -9.241257,
-		"mode=":                               -5.264696,
-		"model":                               -10.339870,
-		"modelclasses":                        -10.339870,
-		"modern":                              -9.241257,
-		"modes":                               -10.339870,
-		"modification":                        -10.339870,
-		"modifications":                       -10.339870,
-		"modify":                              -8.548110,
-		"module":                              -9.241257,
-		"module.":                             -10.339870,
-		"module.ant":                          -10.339870,
-		"module.ivy":                          -10.339870,
-		"module=":                             -8.730432,
-		"moduleId=":                           -7.700812,
-		"modules":                             -9.646723,
-		"monitor":                             -10.339870,
-		"monospace":                           -10.339870,
-		"morNcfuQpOwP":                        -10.339870,
-		"more":                                -7.449498,
-		"mostly":                              -10.339870,
-		"motion_picture":                      -8.953575,
-		"move":                                -10.339870,
-		"ms":                                  -10.339870,
-		"msdata":                              -8.142645,
-		"mt":                                  -10.339870,
-		"mtpvCfXPqb":                          -10.339870,
-		"mulberrytech":                        -9.646723,
-		"multiple":                            -8.548110,
-		"mus.":                                -9.646723,
-		"must":                                -8.142645,
-		"mvJ":                                 -10.339870,
-		"mvudofkgCyIzxffiNrPw":                -10.339870,
-		"mwStcxoPbkVQwvqsc":                   -10.339870,
-		"mxGwTR":                              -10.339870,
-		"mxfN":                                -10.339870,
-		"my":                                  -9.241257,
-		"myapp":                               -10.339870,
-		"myzJZL":                              -10.339870,
-		"n":                                   -7.449498,
-		"nASOA":                               -10.339870,
-		"nDJzCqtxgXfltp":                      -10.339870,
-		"nDe":                                 -10.339870,
-		"nDl":                                 -10.339870,
-		"nE":                                  -10.339870,
-		"nFZK":                                -10.339870,
-		"nHk":                                 -10.339870,
-		"nIoLz":                               -10.339870,
-		"nJtAuozQTDSFBnYc/EmgEBAyoxJnjITbn":   -10.339870,
-		"nLsCvvBvYXPk":                        -10.339870,
-		"nOHLwO":                              -10.339870,
-		"nOptV":                               -10.339870,
-		"nOqG":                                -10.339870,
-		"nPgJu":                               -10.339870,
-		"nQv":                                 -10.339870,
-		"nUNqOwhmGBOLoAQP":                    -10.339870,
-		"nVFIRWFrikloiwVhVQUuqLQioWuOBGxIuLE/gvfve": -10.339870,
-		"nVqbQf":                             -10.339870,
-		"nW":                                 -10.339870,
-		"nXLgCAQzfoSKInurCvZLpJ":             -10.339870,
-		"nYx":                                -10.339870,
-		"nZLn":                               -10.339870,
-		"nZqvYeuJG":                          -10.339870,
-		"name":                               -7.081773,
-		"name.":                              -10.339870,
-		"name/value":                         -10.339870,
-		"name=":                              -3.959747,
-		"named":                              -9.241257,
-		"nameend":                            -9.646723,
-		"namespace":                          -8.037285,
-		"namespace=":                         -9.646723,
-		"namest":                             -9.241257,
-		"naming":                             -10.339870,
-		"nbX":                                -10.339870,
-		"ncSFFESoQkcuSdbZyv":                 -10.339870,
-		"ncl":                                -9.646723,
-		"nd":                                 -10.339870,
-		"ndNa":                               -10.339870,
-		"ne":                                 -10.339870,
-		"nec":                                -10.339870,
-		"necessary":                          -10.339870,
-		"need":                               -7.700812,
-		"needed":                             -10.339870,
-		"needs":                              -9.646723,
-		"negligence":                         -10.339870,
-		"neither":                            -9.241257,
-		"net":                                -8.953575,
-		"netcore":                            -10.339870,
-		"netstandardapp":                     -10.339870,
-		"neutral":                            -8.953575,
-		"never":                              -8.730432,
-		"new":                                -7.774920,
-		"newLayoutMaster":                    -9.646723,
-		"newly":                              -9.646723,
-		"newsletter":                         -10.339870,
-		"newspaper":                          -10.339870,
-		"next":                               -10.339870,
-		"nimus":                              -9.646723,
-		"nivBN":                              -10.339870,
-		"nkL":                                -10.339870,
-		"nlRFQJAPW":                          -10.339870,
-		"nnKeQb":                             -10.339870,
-		"nnSFhgzOAZLqOZ":                     -10.339870,
-		"no":                                 -8.142645,
-		"node_modules":                       -10.339870,
-		"noeNhfo":                            -10.339870,
-		"non":                                -9.646723,
-		"none":                               -9.241257,
-		"noon":                               -10.339870,
-		"nor":                                -9.241257,
-		"normal":                             -8.037285,
-		"normalize":                          -8.953575,
-		"normally":                           -8.548110,
-		"normalstyle":                        -10.339870,
-		"normalweight":                       -10.339870,
-		"not":                                -6.938672,
-		"notation":                           -10.339870,
-		"nothing":                            -10.339870,
-		"notice":                             -9.646723,
-		"notification":                       -8.548110,
-		"notification.":                      -9.646723,
-		"notifications":                      -7.248827,
-		"notifications.":                     -8.730432,
-		"notify":                             -9.241257,
-		"notmycode":                          -9.646723,
-		"np":                                 -10.339870,
-		"nproj":                              -10.339870,
-		"nproj_sample":                       -9.646723,
-		"nr/CmVZNk":                          -10.339870,
-		"nrcV":                               -10.339870,
-		"ns":                                 -8.142645,
-		"nsJd":                               -10.339870,
-		"nu":                                 -10.339870,
-		"nuget":                              -10.339870,
-		"null":                               -8.393960,
-		"null.":                              -8.037285,
-		"nulla":                              -8.548110,
-		"number":                             -7.854963,
-		"number=":                            -8.393960,
-		"nvfB":                               -10.339870,
-		"nvzEiH":                             -10.339870,
-		"nvza":                               -10.339870,
-		"nx":                                 -10.339870,
-		"nxGn":                               -10.339870,
-		"nzebg":                              -10.339870,
-		"o":                                  -7.395431,
-		"oButj":                              -10.339870,
-		"oC":                                 -10.339870,
-		"oDDQNLDQMDTQMDDQNDAyqogOVUlApB":     -10.339870,
-		"oKM":                                -10.339870,
-		"oMEiFNNw":                           -10.339870,
-		"oMI":                                -10.339870,
-		"oNPYWvn":                            -10.339870,
-		"oQtb":                               -10.339870,
-		"oS":                                 -10.339870,
-		"oT":                                 -10.339870,
-		"oUPCRb":                             -10.339870,
-		"oYNQKb":                             -10.339870,
-		"oYnWJOEgKAR":                        -10.339870,
-		"oasis":                              -10.339870,
-		"obj":                                -9.241257,
-		"obj_":                               -9.646723,
-		"obj_bench":                          -10.339870,
-		"obj_camera":                         -10.339870,
-		"obj_camera.pitch":                   -10.339870,
-		"obj_camera.roll":                    -10.339870,
-		"obj_camera.x":                       -10.339870,
-		"obj_camera.y":                       -10.339870,
-		"obj_camera.yaw":                     -10.339870,
-		"obj_control":                        -10.339870,
-		"obj_fern":                           -10.339870,
-		"obj_fire":                           -10.339870,
-		"obj_fire_particle_emitter":          -10.339870,
-		"obj_fuzzies":                        -10.339870,
-		"obj_game_init":                      -10.339870,
-		"obj_grass":                          -10.339870,
-		"obj_house":                          -10.339870,
-		"obj_lantern":                        -10.339870,
-		"obj_master_outside_night":           -10.339870,
-		"obj_player":                         -10.339870,
-		"obj_player_eyes":                    -10.339870,
-		"obj_sky":                            -10.339870,
-		"obj_soldier":                        -10.339870,
-		"obj_tree":                           -10.339870,
-		"obj_woodstack":                      -10.339870,
-		"object":                             -6.489722,
-		"object.":                            -8.953575,
-		"objects":                            -7.081773,
-		"obmGePC":                            -10.339870,
-		"observe":                            -7.854963,
-		"observed":                           -10.339870,
-		"odl":                                -10.339870,
-		"of":                                 -5.363136,
-		"offer":                              -9.646723,
-		"often":                              -9.241257,
-		"og":                                 -10.339870,
-		"ogDWLJADAxmxSbCKVlXsZlUFh":          -10.339870,
-		"ogO":                                -10.339870,
-		"oi":                                 -10.339870,
-		"oi/aMR":                             -10.339870,
-		"ojuXnrUFOKwHgOG":                    -10.339870,
-		"okay":                               -8.730432,
-		"okkRiCdGPIF":                        -10.339870,
-		"oknEE":                              -10.339870,
-		"okyrolgPYAAAAASUVORK":               -10.339870,
-		"old":                                -10.339870,
-		"on":                                 -6.602200,
-		"on.":                                -8.548110,
-		"onChanged":                          -9.646723,
-		"onCreateOnly":                       -10.339870,
-		"onRelease":                          -10.339870,
-		"once":                               -8.953575,
-		"one":                                -6.874134,
-		"one.":                               -10.339870,
-		"ones":                               -9.646723,
-		"only":                               -7.344137,
-		"only.":                              -10.339870,
-		"onto":                               -10.339870,
-		"ontologies":                         -10.339870,
-		"ooEPB":                              -10.339870,
-		"op":                                 -10.339870,
-		"opaque=":                            -10.339870,
-		"opengis":                            -9.646723,
-		"operation":                          -9.646723,
-		"operation.":                         -10.339870,
-		"operations":                         -8.548110,
-		"optional":                           -9.646723,
-		"optionally":                         -9.646723,
-		"optionnal":                          -10.339870,
-		"options":                            -9.646723,
-		"or":                                 -5.885522,
-		"ord":                                -9.646723,
-		"order":                              -7.700812,
-		"org":                                -7.567281,
-		"org=":                               -9.646723,
-		"organisation=":                      -8.730432,
-		"orig":                               -9.241257,
-		"origin.":                            -10.339870,
-		"origin_.x_":                         -10.339870,
-		"origin_.y_":                         -10.339870,
-		"os=":                                -10.339870,
-		"other":                              -7.631820,
-		"others":                             -10.339870,
-		"otherwise":                          -9.646723,
-		"our":                                -9.646723,
-		"out":                                -8.730432,
-		"out.":                               -10.339870,
-		"outer":                              -10.339870,
-		"outgrow":                            -10.339870,
-		"output":                             -9.646723,
-		"output=":                            -8.548110,
-		"outsourcing":                        -10.339870,
-		"over":                               -9.241257,
-		"overload":                           -9.646723,
-		"override":                           -10.339870,
-		"own":                                -9.241257,
-		"ox":                                 -10.339870,
-		"oxford":                             -10.339870,
-		"oxim":                               -10.339870,
-		"oxojqHlnvBCWCN":                     -10.339870,
-		"oz":                                 -10.339870,
-		"p":                                  -7.161816,
-		"pAAAAAElFTkSuQmCC":                  -10.339870,
-		"pAeStjNjzDxip/KFyX":                 -10.339870,
-		"pD":                                 -10.339870,
-		"pDH":                                -10.339870,
-		"pE":                                 -10.339870,
-		"pFxff":                              -10.339870,
-		"pGRKyPXVlZWHeXEQc":                  -10.339870,
-		"pJXV":                               -10.339870,
-		"pKfJtL":                             -10.339870,
-		"pKq":                                -10.339870,
-		"pMNwZakBJHEq":                       -10.339870,
-		"pOgkpBo":                            -10.339870,
-		"pPEPJlUSZDMMBp":                     -10.339870,
-		"pRm":                                -10.339870,
-		"pTEPjObefdhf":                       -10.339870,
-		"pVgHNQxaMqHATdvm":                   -10.339870,
-		"pVp":                                -10.339870,
-		"pWbLT":                              -10.339870,
-		"pYM":                                -10.339870,
-		"pYb":                                -10.339870,
-		"package":                            -9.646723,
-		"packageName":                        -9.646723,
-		"padding":                            -5.072012,
-		"padding=":                           -6.427847,
-		"page":                               -10.339870,
-		"pageTop":                            -10.339870,
-		"pairs.":                             -10.339870,
-		"pamphlet":                           -9.646723,
-		"paper":                              -10.339870,
-		"parameter":                          -8.548110,
-		"parameter.":                         -10.339870,
-		"parameters":                         -10.339870,
-		"parameters.":                        -10.339870,
-		"parent":                             -8.393960,
-		"parent=":                            -9.646723,
-		"parsing":                            -10.339870,
-		"part":                               -9.646723,
-		"particle":                           -10.339870,
-		"particular":                         -8.730432,
-		"pass":                               -9.646723,
-		"passed":                             -10.339870,
-		"path":                               -10.339870,
-		"path.":                              -9.646723,
-		"paths":                              -8.730432,
-		"paths=":                             -8.953575,
-		"pattern=":                           -6.165482,
-		"pay":                                -10.339870,
-		"payments":                           -10.339870,
-		"pbH":                                -10.339870,
-		"pdbonly":                            -9.241257,
-		"pdtNd":                              -10.339870,
-		"pecQs":                              -10.339870,
-		"pede":                               -10.339870,
-		"per":                                -9.241257,
-		"performance":                        -10.339870,
-		"performs":                           -10.339870,
-		"permit":                             -9.646723,
-		"permitted":                          -10.339870,
-		"personal_communication":             -9.646723,
-		"phase":                              -10.339870,
-		"phases":                             -10.339870,
-		"philosophy":                         -10.339870,
-		"pifiGWz":                            -10.339870,
-		"pjHcxeJ/":                           -10.339870,
-		"place":                              -9.241257,
-		"placed":                             -10.339870,
-		"placeholderIdentifier=":             -9.646723,
-		"places":                             -10.339870,
-		"playerMouselook.gml":                -10.339870,
-		"playerMovement.gml":                 -10.339870,
-		"please":                             -10.339870,
-		"plug":                               -10.339870,
-		"plugin_state_location":              -6.784522,
-		"pn/":                                -10.339870,
-		"png":                                -8.260428,
-		"pockets":                            -10.339870,
-		"point":                              -10.339870,
-		"point=":                             -7.854963,
-		"points":                             -8.730432,
-		"policy":                             -8.730432,
-		"politics":                           -10.339870,
-		"polo":                               -10.339870,
-		"populate":                           -10.339870,
-		"populated":                          -8.953575,
-		"port=":                              -10.339870,
-		"possibility":                        -10.339870,
-		"possible":                           -9.241257,
-		"post":                               -9.646723,
-		"posted":                             -9.241257,
-		"potential":                          -9.646723,
-		"potentially":                        -9.646723,
-		"pre":                                -10.339870,
-		"precedes":                           -7.007665,
-		"preceding":                          -8.953575,
-		"predicate=":                         -6.077190,
-		"prefHeight=":                        -9.646723,
-		"prefWidth=":                         -8.548110,
-		"prefix":                             -8.260428,
-		"prefix=":                            -5.586280,
-		"prefixes":                           -8.953575,
-		"prescriptive":                       -9.241257,
-		"present":                            -9.646723,
-		"preserve":                           -10.339870,
-		"previous":                           -9.646723,
-		"primarily":                          -10.339870,
-		"primary":                            -10.339870,
-		"print":                              -10.339870,
-		"printed":                            -10.339870,
-		"private":                            -10.339870,
-		"problemReportingEnabled=":           -8.730432,
-		"process":                            -8.730432,
-		"processes":                          -10.339870,
-		"processing":                         -9.646723,
-		"processing.":                        -10.339870,
-		"procurement":                        -10.339870,
-		"produce":                            -10.339870,
-		"production":                         -10.339870,
-		"profile":                            -8.953575,
-		"profiles":                           -10.339870,
-		"profits":                            -10.339870,
-		"programmer":                         -10.339870,
-		"programmers":                        -10.339870,
-		"progress":                           -10.339870,
-		"project":                            -8.260428,
-		"project.":                           -10.339870,
-		"projectType=":                       -10.339870,
-		"project_name":                       -8.730432,
-		"projects":                           -9.646723,
-		"projects.":                          -10.339870,
-		"promise":                            -10.339870,
-		"prompt":                             -8.730432,
-		"properties":                         -6.972574,
-		"properties/methods":                 -10.339870,
-		"property":                           -6.035805,
-		"property.":                          -7.854963,
-		"property=":                          -10.339870,
-		"propertyAccessControl=":             -9.646723,
-		"props":                              -8.393960,
-		"prosopography":                      -10.339870,
-		"protocol=":                          -10.339870,
-		"prototype":                          -10.339870,
-		"provide":                            -9.241257,
-		"provided":                           -7.506656,
-		"provided.":                          -8.730432,
-		"provider":                           -10.339870,
-		"provides":                           -8.548110,
-		"providing":                          -7.344137,
-		"psWgUGHq":                           -10.339870,
-		"psgvkDGLIBYgAGKogIwjrm":             -10.339870,
-		"pt":                                 -7.941974,
-		"ptr_":                               -8.953575,
-		"public":                             -10.339870,
-		"public/New_Case_Create_Email_Alert": -10.339870,
-		"published":                          -10.339870,
-		"publisher":                          -10.339870,
-		"punctuation":                        -10.339870,
-		"purpose":                            -7.941974,
-		"purposes":                           -10.339870,
-		"put":                                -9.646723,
-		"pvIx":                               -10.339870,
-		"pvvueV":                             -10.339870,
-		"pw":                                 -10.339870,
-		"pwBJykGyBj":                         -10.339870,
-		"px":                                 -10.339870,
-		"pxomsm":                             -10.339870,
-		"q":                                  -8.260428,
-		"qA/EgvMogJryB":                      -10.339870,
-		"qAHAOigBoAFXio/":                    -10.339870,
-		"qEJ":                                -10.339870,
-		"qFBf":                               -10.339870,
-		"qG":                                 -10.339870,
-		"qGb":                                -10.339870,
-		"qGlLDYdBlTUVYjKtKKAqUAEhpPko/":      -10.339870,
-		"qH":                                 -10.339870,
-		"qJyq":                               -10.339870,
-		"qLzudCOhJjaxX":                      -10.339870,
-		"qO":                                 -10.339870,
-		"qOAAPvZJcvAoJlHnRz":                 -10.339870,
-		"qPSlq":                              -10.339870,
-		"qPi":                                -10.339870,
-		"qS":                                 -10.339870,
-		"qT":                                 -9.646723,
-		"qYVUvpmci":                          -10.339870,
-		"qYo":                                -10.339870,
-		"qbV":                                -10.339870,
-		"qck":                                -10.339870,
-		"qeJjZrFRUij/yfo//WhArI/vaKDhxbbRqqdgsSzyntmKGsoCgUjLVe": -10.339870,
-		"qgyvPZIgmA":                            -10.339870,
-		"qkr":                                   -10.339870,
-		"qnAcPbLZlMU":                           -10.339870,
-		"qname":                                 -7.631820,
-		"qoUMoHQL":                              -10.339870,
-		"quNAw":                                 -10.339870,
-		"quam":                                  -9.646723,
-		"queried":                               -10.339870,
-		"query":                                 -8.953575,
-		"query=":                                -10.339870,
-		"queryBinding=":                         -9.646723,
-		"querying":                              -10.339870,
-		"queued":                                -10.339870,
-		"queues":                                -9.646723,
-		"quis":                                  -8.730432,
-		"quite":                                 -9.646723,
-		"quot":                                  -6.938672,
-		"quote":                                 -10.339870,
-		"quotes=":                               -7.774920,
-		"qw":                                    -10.339870,
-		"qwHDYutqtRtrqSnkim":                    -10.339870,
-		"qwl":                                   -10.339870,
-		"qySPGyjyEAhXMzBiHy":                    -10.339870,
-		"qznsmlVeCKxv":                          -10.339870,
-		"r":                                     -7.774920,
-		"r/kEfQ":                                -10.339870,
-		"rAczoRMDBZ":                            -10.339870,
-		"rBgCuDrl":                              -10.339870,
-		"rCN":                                   -10.339870,
-		"rEB/F":                                 -10.339870,
-		"rEsbvcrETybvIJ":                        -10.339870,
-		"rGKA":                                  -10.339870,
-		"rGlvf":                                 -10.339870,
-		"rIIABjc":                               -10.339870,
-		"rLUQxX":                                -10.339870,
-		"rQS":                                   -10.339870,
-		"rRWAMJ":                                -10.339870,
-		"rTYa":                                  -10.339870,
-		"rTcj":                                  -10.339870,
-		"rUv":                                   -10.339870,
-		"rWWlUHFVjgjXbPN":                       -10.339870,
-		"rXB":                                   -10.339870,
-		"rYZ":                                   -10.339870,
-		"rZb":                                   -10.339870,
-		"raKlM":                                 -10.339870,
-		"raTSFuB":                               -10.339870,
-		"raWbyOOyl":                             -10.339870,
-		"radius":                                -7.700812,
-		"raise":                                 -9.646723,
-		"raiseAndSetIfChanged":                  -10.339870,
-		"raisePropertyChanging":                 -8.953575,
-		"raised":                                -10.339870,
-		"range":                                 -9.241257,
-		"rather":                                -10.339870,
-		"ray_coll.gml":                          -10.339870,
-		"rbS/gvtaNtGV":                          -10.339870,
-		"rc":                                    -9.646723,
-		"rct":                                   -10.339870,
-		"rdp":                                   -10.339870,
-		"re":                                    -10.339870,
-		"reWzJOQKiAxGKlVMKNauZ":                 -10.339870,
-		"reached":                               -9.646723,
-		"read":                                  -8.953575,
-		"readable":                              -10.339870,
-		"reader":                                -10.339870,
-		"realestate":                            -9.646723,
-		"reason":                                -10.339870,
-		"reasons":                               -10.339870,
-		"rebroadcast":                           -9.646723,
-		"receives":                              -10.339870,
-		"recently":                              -9.241257,
-		"recipe":                                -10.339870,
-		"recommending":                          -10.339870,
-		"rect1":                                 -10.339870,
-		"rect2":                                 -10.339870,
-		"rect3":                                 -10.339870,
-		"rect4":                                 -9.646723,
-		"rect5":                                 -10.339870,
-		"red":                                   -9.646723,
-		"red=":                                  -10.339870,
-		"reenables":                             -9.241257,
-		"ref=":                                  -9.241257,
-		"ref_count_":                            -8.953575,
-		"reflection":                            -10.339870,
-		"reg":                                   -8.953575,
-		"regardless":                            -9.646723,
-		"region=":                               -9.241257,
-		"registered":                            -10.339870,
-		"registered.":                           -9.646723,
-		"rel=":                                  -9.646723,
-		"relativeNode":                          -9.646723,
-		"relatively":                            -10.339870,
-		"reliably.":                             -10.339870,
-		"religion":                              -10.339870,
-		"remotely":                              -10.339870,
-		"removed":                               -8.953575,
-		"removed.":                              -8.953575,
-		"rend=":                                 -8.393960,
-		"rendered":                              -10.339870,
-		"rendition":                             -8.953575,
-		"repeat":                                -8.953575,
-		"replace":                               -10.339870,
-		"replaces":                              -10.339870,
-		"report":                                -10.339870,
-		"repository=":                           -10.339870,
-		"repositoryId=":                         -10.339870,
-		"representation":                        -9.646723,
-		"representing":                          -7.295347,
-		"represents":                            -8.953575,
-		"reproduce":                             -10.339870,
-		"request":                               -9.241257,
-		"requested":                             -10.339870,
-		"requests":                              -8.953575,
-		"requests.":                             -9.646723,
-		"required":                              -9.646723,
-		"reserved.":                             -10.339870,
-		"resheader":                             -10.339870,
-		"resourcePath=":                         -9.646723,
-		"respective":                            -10.339870,
-		"response":                              -9.646723,
-		"rest.":                                 -9.646723,
-		"result":                                -9.241257,
-		"result.":                               -9.646723,
-		"resulting":                             -10.339870,
-		"results":                               -8.142645,
-		"resx":                                  -8.953575,
-		"retain":                                -10.339870,
-		"retrieve":                              -9.241257,
-		"return":                                -7.449498,
-		"returned":                              -9.646723,
-		"returned.":                             -9.646723,
-		"returning":                             -10.339870,
-		"returns":                               -8.730432,
-		"rev=":                                  -9.646723,
-		"review":                                -8.730432,
-		"revision":                              -10.339870,
-		"revision=":                             -8.393960,
-		"rf":                                    -9.646723,
-		"rgb":                                   -8.142645,
-		"rgs":                                   -10.339870,
-		"rh":                                    -10.339870,
-		"riTUQIzNntZzjlMxxzn":                   -10.339870,
-		"richness":                              -10.339870,
-		"ridiculus":                             -9.646723,
-		"rifoq":                                 -10.339870,
-		"right":                                 -6.555680,
-		"right=":                                -10.339870,
-		"rightbraced":                           -10.339870,
-		"rights":                                -10.339870,
-		"riwFzpHDi":                             -10.339870,
-		"rj":                                    -10.339870,
-		"rjos":                                  -10.339870,
-		"rmPg":                                  -10.339870,
-		"rm_fps":                                -10.339870,
-		"rnD/NFCxuaQAv":                         -10.339870,
-		"ro":                                    -10.339870,
-		"role=":                                 -7.854963,
-		"roman":                                 -9.241257,
-		"roof.d":                                -10.339870,
-		"roof.png":                              -10.339870,
-		"room_menu":                             -10.339870,
-		"rooms":                                 -9.646723,
-		"rotate":                                -8.953575,
-		"rotateClockwise":                       -10.339870,
-		"rotateCounterclockwise":                -10.339870,
-		"rotateleft":                            -10.339870,
-		"rotateright":                           -10.339870,
-		"routing":                               -9.646723,
-		"row":                                   -8.142645,
-		"rowno":                                 -10.339870,
-		"rows":                                  -10.339870,
-		"rq":                                    -10.339870,
-		"rqO":                                   -10.339870,
-		"rqR":                                   -10.339870,
-		"rrVW/pWaoZNrLcjfGqhC":                  -10.339870,
-		"rtg":                                   -10.339870,
-		"rtsQ":                                  -10.339870,
-		"ru":                                    -10.339870,
-		"rule":                                  -10.339870,
-		"rule.":                                 -10.339870,
-		"rules":                                 -10.339870,
-		"run":                                   -8.393960,
-		"running":                               -8.953575,
-		"running.":                              -10.339870,
-		"rutrum":                                -10.339870,
-		"rutrum.":                               -8.548110,
-		"rvAL":                                  -10.339870,
-		"rwmFqUu":                               -10.339870,
-		"rwxuMP":                                -10.339870,
-		"rxEc":                                  -10.339870,
-		"rz":                                    -9.646723,
-		"s":                                     -7.204376,
-		"s.SourceFile.FilePath":                 -10.339870,
-		"s/TIlQWbYgA":                           -10.339870,
-		"sD":                                    -10.339870,
-		"sDed":                                  -10.339870,
-		"sE/E":                                  -10.339870,
-		"sF":                                    -10.339870,
-		"sGc":                                   -10.339870,
-		"sHc":                                   -10.339870,
-		"sIDZ":                                  -10.339870,
-		"sLT":                                   -10.339870,
-		"sN":                                    -10.339870,
-		"sNWxBKP":                               -10.339870,
-		"sO":                                    -10.339870,
-		"sRLV/ty":                               -10.339870,
-		"sYcUR":                                 -10.339870,
-		"salve":                                 -9.646723,
-		"same":                                  -8.260428,
-		"sample":                                -8.548110,
-		"sample.xml":                            -9.646723,
-		"sans":                                  -8.037285,
-		"satisfies":                             -8.730432,
-		"save":                                  -9.241257,
-		"say":                                   -10.339870,
-		"sc":                                    -10.339870,
-		"scenarios":                             -8.953575,
-		"scene":                                 -9.646723,
-		"schedule":                              -9.646723,
-		"scheduler":                             -7.941974,
-		"schema":                                -8.730432,
-		"schemaLocation":                        -10.339870,
-		"schemaVersion=":                        -9.646723,
-		"schemas":                               -9.241257,
-		"schematypens=":                         -10.339870,
-		"scheme=":                               -8.393960,
-		"science":                               -10.339870,
-		"scope":                                 -9.646723,
-		"scope=":                                -7.044033,
-		"scripts":                               -5.970422,
-		"sdESBxYyAQhH":                          -10.339870,
-		"sdk":                                   -10.339870,
-		"sdkextension":                          -10.339870,
-		"section":                               -10.339870,
-		"see":                                   -8.730432,
-		"seems":                                 -10.339870,
-		"select":                                -9.241257,
-		"select=":                               -6.602200,
-		"selectedProfileId=":                    -8.730432,
-		"selector":                              -8.730432,
-		"selector.":                             -9.646723,
-		"selectors":                             -9.646723,
-		"self":                                  -8.730432,
-		"semantically":                          -9.241257,
-		"send":                                  -9.241257,
-		"send.":                                 -8.953575,
-		"sending":                               -9.646723,
-		"sense":                                 -10.339870,
-		"sense.":                                -10.339870,
-		"sent":                                  -9.646723,
-		"ser":                                   -10.339870,
-		"serialized":                            -8.548110,
-		"serif":                                 -8.037285,
-		"server":                                -9.646723,
-		"server.":                               -9.646723,
-		"service":                               -10.339870,
-		"serviceName=":                          -9.646723,
-		"services":                              -9.241257,
-		"set":                                   -6.408044,
-		"set.":                                  -8.953575,
-		"setGeneralLight.gml":                   -10.339870,
-		"setting":                               -8.953575,
-		"settings":                              -10.339870,
-		"setup.":                                -7.854963,
-		"several":                               -10.339870,
-		"sfTQAIYjwupvXUhvRjOBwEXY":              -10.339870,
-		"sg":                                    -10.339870,
-		"sgL":                                   -10.339870,
-		"sgM":                                   -10.339870,
-		"sgatIDAFhaSXctxLkRoKjfV":               -10.339870,
-		"shN":                                   -10.339870,
-		"shaders":                               -9.646723,
-		"shall":                                 -10.339870,
-		"share":                                 -9.646723,
-		"shipping":                              -10.339870,
-		"shirt":                                 -10.339870,
-		"shoes":                                 -10.339870,
-		"shorts":                                -10.339870,
-		"should":                                -7.700812,
-		"show":                                  -10.339870,
-		"sibling":                               -8.953575,
-		"sic":                                   -9.646723,
-		"side":                                  -10.339870,
-		"similar":                               -8.260428,
-		"similarly":                             -10.339870,
-		"simple":                                -8.260428,
-		"simplechanges":                         -10.339870,
-		"simpleelementspm":                      -10.339870,
-		"simpler":                               -10.339870,
-		"simplify":                              -10.339870,
-		"single":                                -9.241257,
-		"sit":                                   -9.646723,
-		"size":                                  -6.448049,
-		"size_.height_":                         -10.339870,
-		"size_.width_":                          -10.339870,
-		"skos":                                  -9.646723,
-		"sleSGS":                                -10.339870,
-		"slot":                                  -10.339870,
-		"small":                                 -9.241257,
-		"smallCap":                              -10.339870,
-		"smallcaps":                             -10.339870,
-		"smaller":                               -8.730432,
-		"smoke_":                                -10.339870,
-		"snd_birds":                             -10.339870,
-		"snd_crickets":                          -10.339870,
-		"snd_fire":                              -10.339870,
-		"snd_rain":                              -10.339870,
-		"so":                                    -9.646723,
-		"so.":                                   -10.339870,
-		"software":                              -9.241257,
-		"soldier_wip.d":                         -9.646723,
-		"solid":                                 -10.339870,
-		"some":                                  -8.730432,
-		"song":                                  -10.339870,
-		"sort":                                  -7.774920,
-		"sound":                                 -8.953575,
-		"source":                                -7.161816,
-		"source.extension.vsixmanifest":         -10.339870,
-		"sourceFilesPathsToDiscard":             -10.339870,
-		"sourceFilesPathsToDiscard.Contains":    -10.339870,
-		"sourcedoc":                             -10.339870,
-		"spAyr":                                 -10.339870,
-		"space":                                 -8.730432,
-		"space_.data_":                          -9.646723,
-		"spaceletter":                           -10.339870,
-		"spacing":                               -10.339870,
-		"spacing=":                              -10.339870,
-		"spamming":                              -9.646723,
-		"spec_":                                 -10.339870,
-		"special":                               -10.339870,
-		"specialist":                            -10.339870,
-		"specific":                              -7.941974,
-		"specified":                             -8.393960,
-		"specifies":                             -10.339870,
-		"specify":                               -10.339870,
-		"specs":                                 -7.344137,
-		"specs_file":                            -7.631820,
-		"speech":                                -9.646723,
-		"spr_angle":                             -10.339870,
-		"spr_cam":                               -10.339870,
-		"spr_fern":                              -10.339870,
-		"spr_fireicon":                          -10.339870,
-		"spr_house":                             -10.339870,
-		"spr_lantern":                           -10.339870,
-		"spr_logo":                              -10.339870,
-		"spr_master_outside_night":              -10.339870,
-		"spr_player":                            -10.339870,
-		"spr_rectangle":                         -10.339870,
-		"spr_tallgrass":                         -10.339870,
-		"spr_tree":                              -10.339870,
-		"sprites":                               -7.774920,
-		"srKyticWeuJga":                         -10.339870,
-		"src":                                   -10.339870,
-		"src=":                                  -6.874134,
-		"srsName=":                              -9.646723,
-		"sryoWq":                                -10.339870,
-		"ssxBx":                                 -10.339870,
-		"standalone=":                           -8.730432,
-		"standard":                              -10.339870,
-		"standardized":                          -10.339870,
-		"start":                                 -9.646723,
-		"start=":                                -10.339870,
-		"starts":                                -8.730432,
-		"startup.":                              -10.339870,
-		"status":                                -10.339870,
-		"status=":                               -10.339870,
-		"step":                                  -10.339870,
-		"steps":                                 -10.339870,
-		"still":                                 -9.646723,
-		"storage":                               -10.339870,
-		"storage_.value_":                       -10.339870,
-		"storage_type_id=":                      -10.339870,
-		"store":                                 -10.339870,
-		"stored":                                -10.339870,
-		"stream":                                -8.393960,
-		"stream.":                               -9.241257,
-		"strict":                                -10.339870,
-		"strikethrough":                         -10.339870,
-		"string":                                -6.938672,
-		"string_value_":                         -9.646723,
-		"strong":                                -10.339870,
-		"structure":                             -10.339870,
-		"style":                                 -6.533207,
-		"style=":                                -7.120994,
-		"stylesheets":                           -10.339870,
-		"su":                                    -10.339870,
-		"sub":                                   -10.339870,
-		"subscribed":                            -9.646723,
-		"subscribing":                           -10.339870,
-		"subscript":                             -10.339870,
-		"subsequent":                            -9.241257,
-		"subset":                                -9.241257,
-		"substitute":                            -9.241257,
-		"substring":                             -9.241257,
-		"subtle":                                -9.646723,
-		"success":                               -10.339870,
-		"successful":                            -10.339870,
-		"such":                                  -8.393960,
-		"suffice.":                              -10.339870,
-		"suffix=":                               -4.450992,
-		"suit":                                  -10.339870,
-		"suited":                                -10.339870,
-		"sum":                                   -9.646723,
-		"sup":                                   -10.339870,
-		"super":                                 -9.646723,
-		"superClass=":                           -6.602200,
-		"superscript":                           -10.339870,
-		"support":                               -9.241257,
-		"supralinear":                           -10.339870,
-		"svGZRjkHFBSejevtuU":                    -10.339870,
-		"svenhaustein.de":                       -10.339870,
-		"swIs":                                  -10.339870,
-		"sx":                                    -10.339870,
-		"sxAMnoPtuMYiCAiSQQlCCdpPaTIKLxXxOSIdr": -10.339870,
-		"symbols":                               -8.953575,
-		"synchronous":                           -10.339870,
-		"systemVersion=":                        -9.646723,
-		"szGJbCfSALeJCRjSKmgOQncAKL":            -10.339870,
-		"t":                                     -7.344137,
-		"t/mx":                                  -10.339870,
-		"tAAAAAElFTkSuQmCC":                     -10.339870,
-		"tBpzgrJ":                               -10.339870,
-		"tBynmiZI":                              -10.339870,
-		"tEi":                                   -10.339870,
-		"tGftufJlD":                             -10.339870,
-		"tIiqseI":                               -10.339870,
-		"tK":                                    -10.339870,
-		"tKDIYkMMwBY":                           -10.339870,
-		"tLQbjMAAAAASUVORK":                     -10.339870,
-		"tMC":                                   -10.339870,
-		"tMq":                                   -10.339870,
-		"tN":                                    -10.339870,
-		"tQi":                                   -10.339870,
-		"tRBmAil":                               -10.339870,
-		"tRSe/qqWflbLuzXu":                      -10.339870,
-		"tTHdUJew":                              -10.339870,
-		"tUf":                                   -10.339870,
-		"tUmk":                                  -10.339870,
-		"tVUr":                                  -9.241257,
-		"tVVLU/DUBTlp":                          -10.339870,
-		"tVVLW/CUBRFIpGVWGRlZW":                 -10.339870,
-		"tVVLW/jQBTsT":                          -10.339870,
-		"tVVLY/iUBSdn":                          -10.339870,
-		"tVVLYvDQBBduTJy":                       -10.339870,
-		"tVVoW":                                 -9.241257,
-		"tVVq":                                  -10.339870,
-		"tVVr":                                  -9.241257,
-		"tVVrW/bQBTvnzBYGDpoaBgaaGhoaHrw":       -10.339870,
-		"tVVsWrDMBDNp":                          -10.339870,
-		"tVdvPg":                                -10.339870,
-		"tVlSJgNd":                              -10.339870,
-		"tWVoW/qUBjF":                           -10.339870,
-		"tWVr":                                  -8.953575,
-		"tWVrW/bUBTF":                           -10.339870,
-		"tWVrW/jQBDFCwsPFi":                     -10.339870,
-		"tWVrY/bQBDF":                           -10.339870,
-		"tWVrY/bQBTEAwMNDU":                     -10.339870,
-		"tWft":                                  -10.339870,
-		"tWx":                                   -10.339870,
-		"tX":                                    -10.339870,
-		"tYnGU":                                 -10.339870,
-		"table":                                 -9.241257,
-		"tablefoot":                             -10.339870,
-		"tagged":                                -10.339870,
-		"tagging":                               -10.339870,
-		"take":                                  -9.241257,
-		"taken":                                 -10.339870,
-		"takes":                                 -10.339870,
-		"tank":                                  -10.339870,
-		"target":                                -8.260428,
-		"target.":                               -10.339870,
-		"target.property":                       -10.339870,
-		"target=":                               -7.567281,
-		"targetRuntime=":                        -9.646723,
-		"targets":                               -7.506656,
-		"task":                                  -8.548110,
-		"tcygiDPzeuBDCuR":                       -10.339870,
-		"technology":                            -10.339870,
-		"tei":                                   -7.774920,
-		"teiCorpus":                             -10.339870,
-		"tells":                                 -10.339870,
-		"tellus":                                -10.339870,
-		"tellus.":                               -8.953575,
-		"temp":                                  -10.339870,
-		"templates":                             -7.506656,
-		"temporary":                             -10.339870,
-		"term=":                                 -8.142645,
-		"test":                                  -8.260428,
-		"test=":                                 -6.578670,
-		"tests":                                 -10.339870,
-		"tests.":                                -10.339870,
-		"tex_arrow":                             -10.339870,
-		"tex_sky":                               -10.339870,
-		"tex_sky_day":                           -10.339870,
-		"tex_test":                              -10.339870,
-		"text":                                  -5.775522,
-		"text.":                                 -10.339870,
-		"text/microsoft":                        -9.646723,
-		"text/value":                            -10.339870,
-		"text=":                                 -8.953575,
-		"texts":                                 -8.260428,
-		"texts.":                                -10.339870,
-		"tgroup":                                -7.854963,
-		"th":                                    -9.241257,
-		"thGodg":                                -10.339870,
-		"than":                                  -8.548110,
-		"that":                                  -5.621371,
-		"the":                                   -4.490545,
-		"their":                                 -9.646723,
-		"them":                                  -9.241257,
-		"then":                                  -7.854963,
-		"theory":                                -10.339870,
-		"there":                                 -9.646723,
-		"therein":                               -10.339870,
-		"these":                                 -9.646723,
-		"they":                                  -8.953575,
-		"think":                                 -9.646723,
-		"this":                                  -5.796575,
-		"those":                                 -9.646723,
-		"thread.":                               -9.241257,
-		"through":                               -8.393960,
-		"thrown":                                -10.339870,
-		"tif":                                   -10.339870,
-		"tiff":                                  -10.339870,
-		"time":                                  -8.953575,
-		"times.":                                -8.953575,
-		"title":                                 -6.784522,
-		"tj":                                    -10.339870,
-		"tjNvuvr":                               -10.339870,
-		"tkzEghoAYPYjElZX":                      -10.339870,
-		"tmZ":                                   -10.339870,
-		"tnyQ/qufk":                             -10.339870,
-		"to":                                    -5.007151,
-		"to.":                                   -8.393960,
-		"tokenize":                              -9.241257,
-		"tomorrow":                              -10.339870,
-		"too":                                   -10.339870,
-		"toolsVersion=":                         -9.646723,
-		"top":                                   -6.228996,
-		"top=":                                  -9.646723,
-		"topbraced":                             -10.339870,
-		"tort":                                  -10.339870,
-		"total":                                 -9.646723,
-		"tp":                                    -10.339870,
-		"tpGAR":                                 -10.339870,
-		"tpKi/TV":                               -10.339870,
-		"tpl":                                   -9.646723,
-		"traditional":                           -9.241257,
-		"trans.":                                -10.339870,
-		"transcr":                               -10.339870,
-		"transcription":                         -10.339870,
-		"transcriptional":                       -10.339870,
-		"transform":                             -8.730432,
-		"translator":                            -10.339870,
-		"travelling":                            -10.339870,
-		"traversal":                             -9.646723,
-		"treat":                                 -10.339870,
-		"tree_leaves.d":                         -10.339870,
-		"tree_trunk.d":                          -10.339870,
-		"true":                                  -6.602200,
-		"tu":                                    -10.339870,
-		"tuhTTjf":                               -10.339870,
-		"tutorial":                              -10.339870,
-		"tv":                                    -10.339870,
-		"twitter":                               -9.646723,
-		"two":                                   -9.646723,
-		"tx":                                    -10.339870,
-		"tyF":                                   -10.339870,
-		"type":                                  -7.081773,
-		"type.":                                 -9.241257,
-		"type=":                                 -5.909053,
-		"type_":                                 -10.339870,
-		"typed":                                 -9.646723,
-		"types":                                 -7.854963,
-		"typewriter":                            -10.339870,
-		"typically":                             -10.339870,
-		"u":                                     -9.646723,
-		"uCGWWFMx":                              -10.339870,
-		"uDQqZH":                                -10.339870,
-		"uOdBctgHB":                             -10.339870,
-		"uc":                                    -10.339870,
-		"ultricies":                             -9.646723,
-		"uncomment":                             -8.730432,
-		"undeclared":                            -10.339870,
-		"undefined":                             -9.241257,
-		"under":                                 -9.241257,
-		"underline":                             -8.953575,
-		"understood":                            -10.339870,
-		"undertaken":                            -10.339870,
-		"unenroll":                              -10.339870,
-		"unfiled":                               -10.339870,
-		"unique":                                -7.854963,
-		"unit":                                  -8.260428,
-		"unless":                                -10.339870,
-		"unlike":                                -7.774920,
-		"unpredictable.":                        -10.339870,
-		"unprefixed":                            -10.339870,
-		"until":                                 -8.393960,
-		"up":                                    -7.120994,
-		"updateAudioListener.gml":               -10.339870,
-		"updated":                               -10.339870,
-		"updated.":                              -10.339870,
-		"upon":                                  -10.339870,
-		"upper":                                 -10.339870,
-		"uppercase":                             -10.339870,
-		"uri":                                   -8.730432,
-		"uri=":                                  -7.774920,
-		"url":                                   -8.953575,
-		"url=":                                  -9.241257,
-		"urn":                                   -9.646723,
-		"usUygMoCw":                             -10.339870,
-		"usage":                                 -10.339870,
-		"usages":                                -10.339870,
-		"use":                                   -7.044033,
-		"use=":                                  -10.339870,
-		"useAutolayout=":                        -9.646723,
-		"useDefault=":                           -6.650990,
-		"useSourceRendition=":                   -8.037285,
-		"useTraitCollections=":                  -9.646723,
-		"used":                                  -7.248827,
-		"useful":                                -9.646723,
-		"user":                                  -8.953575,
-		"userLabel=":                            -9.646723,
-		"users":                                 -9.241257,
-		"users.":                                -9.646723,
-		"uses":                                  -9.646723,
-		"using":                                 -7.854963,
-		"usually":                               -10.339870,
-		"ut":                                    -8.393960,
-		"util":                                  -9.646723,
-		"uuid":                                  -9.646723,
-		"ux":                                    -8.142645,
-		"v":                                     -6.279427,
-		"v/":                                    -9.646723,
-		"v/WeP":                                 -10.339870,
-		"v3":                                    -10.339870,
-		"v4":                                    -10.339870,
-		"vA":                                    -10.339870,
-		"vAXxPEe":                               -10.339870,
-		"vAwaQJ":                                -10.339870,
-		"vCbChH":                                -10.339870,
-		"vGNxeEilWMVSVSM":                       -10.339870,
-		"vGTAulhguppjof":                        -10.339870,
-		"vH":                                    -10.339870,
-		"vHlxrq":                                -10.339870,
-		"vI":                                    -10.339870,
-		"vIEt/cM":                               -10.339870,
-		"vIwLBI":                                -10.339870,
-		"vKYSA":                                 -10.339870,
-		"vM":                                    -10.339870,
-		"vMYWA/O":                               -10.339870,
-		"vMsUnNiwaZQ":                           -10.339870,
-		"vOu":                                   -10.339870,
-		"vPMkEZ":                                -10.339870,
-		"vRtiqctC":                              -10.339870,
-		"vT":                                    -10.339870,
-		"vUsDiKsHQjCCQga":                       -10.339870,
-		"vVSsiZ":                                -10.339870,
-		"vXfz":                                  -10.339870,
-		"vXzZjkiQhkvGhPBQHKZwAMwKAHg":           -10.339870,
-		"vZ":                                    -10.339870,
-		"vZYgeYJJhHW":                           -10.339870,
-		"val":                                   -8.953575,
-		"validation":                            -10.339870,
-		"value":                                 -6.448049,
-		"value.":                                -9.241257,
-		"value=":                                -6.702284,
-		"valueName=":                            -10.339870,
-		"valueType=":                            -7.854963,
-		"values":                                -8.953575,
-		"varables":                              -10.339870,
-		"variable=":                             -4.683878,
-		"variant":                               -10.339870,
-		"variation":                             -10.339870,
-		"various":                               -9.646723,
-		"varius":                                -8.393960,
-		"vb":                                    -8.953575,
-		"vbproj":                                -9.241257,
-		"vbproj_sample":                         -10.339870,
-		"vbproj_sample.Module":                  -10.339870,
-		"vc":                                    -10.339870,
-		"vc/":                                   -10.339870,
-		"vcK":                                   -10.339870,
-		"vcxprojsample":                         -10.339870,
-		"veP":                                   -10.339870,
-		"veTEzXm":                               -10.339870,
-		"vector_rotate.gml":                     -10.339870,
-		"vendors":                               -10.339870,
-		"version":                               -8.393960,
-		"version=":                              -5.885522,
-		"versions":                              -9.241257,
-		"vertical":                              -7.631820,
-		"very":                                  -8.953575,
-		"vfFznwktzPqsavY":                       -10.339870,
-		"vfd":                                   -10.339870,
-		"vfiHRCyjB":                             -10.339870,
-		"vgmY":                                  -10.339870,
-		"vhkEq":                                 -10.339870,
-		"via":                                   -8.260428,
-		"view":                                  -9.241257,
-		"viewed":                                -10.339870,
-		"vinFLQX":                               -10.339870,
-		"virtue":                                -10.339870,
-		"visibility=":                           -9.646723,
-		"viverra":                               -7.854963,
-		"vjQBReWVkZGRsZGRlbWVkZOXY":             -10.339870,
-		"vjQBTePyV":                             -10.339870,
-		"vjQBjdPyU":                             -10.339870,
-		"vlm":                                   -10.339870,
-		"vmsD":                                  -10.339870,
-		"vn":                                    -10.339870,
-		"void*":                                 -9.646723,
-		"vols":                                  -8.953575,
-		"vote":                                  -9.646723,
-		"votes":                                 -9.646723,
-		"voting":                                -10.339870,
-		"voypwiHgurVx":                          -10.339870,
-		"vpCG":                                  -10.339870,
-		"vpREjLn":                               -10.339870,
-		"vqg":                                   -10.339870,
-		"vr":                                    -9.646723,
-		"vrUBSenKyMjI":                          -10.339870,
-		"vs.":                                   -10.339870,
-		"vsArRsRicf":                            -10.339870,
-		"vsmuestt":                              -10.339870,
-		"vss":                                   -10.339870,
-		"vstemplate":                            -10.339870,
-		"vsx":                                   -10.339870,
-		"vuoyvzmGMiOOz":                         -10.339870,
-		"vv":                                    -10.339870,
-		"vw":                                    -10.339870,
-		"vyLgwIGCgIAAEwMD":                      -10.339870,
-		"vydxC":                                 -10.339870,
-		"vzheDplJC":                             -10.339870,
-		"w":                                     -8.260428,
-		"w3":                                    -8.037285,
-		"wASqnIuKNk":                            -10.339870,
-		"wAr":                                   -10.339870,
-		"wBMXUFQeC":                             -10.339870,
-		"wDlGEwr":                               -10.339870,
-		"wGPIJOT":                               -10.339870,
-		"wHlq":                                  -10.339870,
-		"wJ/ZSrfUYvujCO":                        -10.339870,
-		"wL":                                    -10.339870,
-		"wLbjO":                                 -10.339870,
-		"wLhpRvLWN":                             -10.339870,
-		"wMyHwZuLyPqNiEdDgktJh":                 -10.339870,
-		"wNVjJjsNvLuLpXxIpX":                    -10.339870,
-		"wP/xAiRtD":                             -10.339870,
-		"wQdaKa":                                -10.339870,
-		"wR":                                    -10.339870,
-		"wSmTBDT":                               -10.339870,
-		"wV":                                    -10.339870,
-		"wWoVRVrgzoehU":                         -10.339870,
-		"wXmwjz":                                -10.339870,
-		"waUQPvZL":                              -10.339870,
-		"wait":                                  -9.241257,
-		"want":                                  -9.646723,
-		"warranties":                            -9.646723,
-		"was":                                   -8.548110,
-		"wav":                                   -10.339870,
-		"wavy":                                  -10.339870,
-		"wavyunderline":                         -10.339870,
-		"way":                                   -8.953575,
-		"way.":                                  -9.646723,
-		"ways":                                  -10.339870,
-		"wbIj/bkvASQnoLQIZTzzZTiFAjgDjHGOMey":   -10.339870,
-		"wbtdSCr":                               -10.339870,
-		"wcjoHkb":                               -10.339870,
-		"wcksN":                                 -10.339870,
-		"wdX":                                   -10.339870,
-		"we":                                    -9.241257,
-		"web":                                   -8.393960,
-		"webkit":                                -9.646723,
-		"webpage":                               -9.646723,
-		"weight":                                -7.631820,
-		"well":                                  -9.646723,
-		"weoXiCRUQ":                             -10.339870,
-		"were":                                  -10.339870,
-		"what":                                  -10.339870,
-		"when":                                  -6.650990,
-		"whenever":                              -7.449498,
-		"where":                                 -8.037285,
-		"whether":                               -9.646723,
-		"which":                                 -7.395431,
-		"who":                                   -9.646723,
-		"whom":                                  -10.339870,
-		"whose":                                 -8.393960,
-		"wide":                                  -9.646723,
-		"width":                                 -8.548110,
-		"width=":                                -6.350886,
-		"width_":                                -10.339870,
-		"will":                                  -5.970422,
-		"with":                                  -6.369578,
-		"withDelay":                             -9.646723,
-		"without":                               -9.646723,
-		"wkFxqMdK/qYrQJdEzVlJHIWYpyAkqnRovnaKkMWL": -10.339870,
-		"wmnu/":                         -10.339870,
-		"wn":                            -10.339870,
-		"wnrz":                          -10.339870,
-		"woodstack.png":                 -10.339870,
-		"word":                          -10.339870,
-		"work":                          -8.548110,
-		"working":                       -10.339870,
-		"works":                         -9.646723,
-		"would":                         -9.241257,
-		"wpa":                           -10.339870,
-		"wqJsqBJm":                      -10.339870,
-		"write":                         -9.646723,
-		"writing":                       -10.339870,
-		"www":                           -7.449498,
-		"www.flaticon.com":              -10.339870,
-		"wxGQ":                          -10.339870,
-		"wxLE":                          -10.339870,
-		"wyZk/WnQnrvJ":                  -10.339870,
-		"wyubKS":                        -10.339870,
-		"wzi":                           -10.339870,
-		"x":                             -7.120994,
-		"x.Foo.Bar.Baz":                 -10.339870,
-		"x.SomeProperty":                -10.339870,
-		"x86":                           -9.646723,
-		"x=":                            -10.339870,
-		"xBmNE":                         -10.339870,
-		"xD":                            -10.339870,
-		"xGWMbJUgLfsodkbX":              -10.339870,
-		"xGhLjKOYPiWT":                  -10.339870,
-		"xHyBC":                         -10.339870,
-		"xIIomuHUb":                     -10.339870,
-		"xISBEglOD":                     -10.339870,
-		"xJVS":                          -10.339870,
-		"xLMYEksMbfC/HuP":               -10.339870,
-		"xNUz":                          -10.339870,
-		"xNgu":                          -10.339870,
-		"xPzSg":                         -10.339870,
-		"xRMIPrgFxpHk":                  -10.339870,
-		"xSiCcE":                        -10.339870,
-		"xTS":                           -10.339870,
-		"xVr":                           -10.339870,
-		"xZ":                            -10.339870,
-		"xZ/":                           -10.339870,
-		"xZwEifOrBKU":                   -10.339870,
-		"x_":                            -10.339870,
-		"xeqPPgT":                       -10.339870,
-		"xg":                            -10.339870,
-		"xgvRzNqvLuaUQ":                 -10.339870,
-		"xiMlp":                         -10.339870,
-		"xj":                            -10.339870,
-		"xlQ":                           -10.339870,
-		"xldbWJQhHy":                    -10.339870,
-		"xml":                           -6.427847,
-		"xmlns":                         -7.044033,
-		"xmlns=":                        -6.489722,
-		"xnodpQZVmmKBq":                 -10.339870,
-		"xo":                            -10.339870,
-		"xq":                            -10.339870,
-		"xq/Wgox":                       -10.339870,
-		"xquery":                        -10.339870,
-		"xrHUo":                         -10.339870,
-		"xs":                            -8.730432,
-		"xsd":                           -8.730432,
-		"xsi":                           -8.953575,
-		"xsl":                           -9.646723,
-		"xslt":                          -9.241257,
-		"xspec":                         -10.339870,
-		"y":                             -7.774920,
-		"y=":                            -10.339870,
-		"yBOS":                          -10.339870,
-		"yBR":                           -10.339870,
-		"yD":                            -10.339870,
-		"yDfywLBX":                      -10.339870,
-		"yEDAJpH":                       -10.339870,
-		"yEomdRCKRtVUvVUsz":             -10.339870,
-		"yGYC":                          -10.339870,
-		"yHCCvg":                        -10.339870,
-		"yHX":                           -10.339870,
-		"yHezIeUfUZx":                   -10.339870,
-		"yHfvHFPtdiuZliL":               -10.339870,
-		"yI":                            -10.339870,
-		"yIzwQKVJgErfUmsakwnM":          -10.339870,
-		"yJ":                            -10.339870,
-		"yLg":                           -10.339870,
-		"yMbo":                          -10.339870,
-		"yNYO":                          -10.339870,
-		"yPI":                           -10.339870,
-		"yR":                            -10.339870,
-		"yRxC":                          -10.339870,
-		"yTIZ":                          -10.339870,
-		"yWRMvTUGcg":                    -10.339870,
-		"yWv":                           -10.339870,
-		"yXTlE":                         -10.339870,
-		"yZPga":                         -10.339870,
-		"yZjEUwInD":                     -10.339870,
-		"y_":                            -10.339870,
-		"yajiP":                         -10.339870,
-		"ycGd":                          -10.339870,
-		"ycMBoYaFgaGBhoGGoYaGgZN":       -10.339870,
-		"yczOTDKT":                      -10.339870,
-		"ydCZeUukbYtNWDnituXUrrwjcUZOB": -10.339870,
-		"ye":                            -10.339870,
-		"years":                         -9.646723,
-		"yfb":                           -10.339870,
-		"ylcC":                          -10.339870,
-		"ym":                            -10.339870,
-		"ymQrynjqSXeLQCOCG/bpR":         -10.339870,
-		"yoBcGAg":                       -10.339870,
-		"you":                           -7.081773,
-		"your":                          -7.395431,
-		"ypvKVMnI":                      -10.339870,
-		"yr":                            -10.339870,
-		"ysrURWYpFIJBKLRJJTVZsUQcKKTajZEAQhCBIqmrSCpAiSIhCfQFQgKk": -10.339870,
-		"yt":                       -10.339870,
-		"yufHKmkiiheTIUMnEk":       -10.339870,
-		"ywkAgRd":                  -10.339870,
-		"yx":                       -9.646723,
-		"yzo":                      -10.339870,
-		"z":                        -8.142645,
-		"zA":                       -10.339870,
-		"zC":                       -10.339870,
-		"zD":                       -10.339870,
-		"zIdGX":                    -10.339870,
-		"zIndex=":                  -10.339870,
-		"zLfjfXMXUipklk":           -10.339870,
-		"zLuxyR":                   -10.339870,
-		"zMV/":                     -10.339870,
-		"zQN":                      -10.339870,
-		"zQxmED":                   -10.339870,
-		"zUyM":                     -10.339870,
-		"zV":                       -10.339870,
-		"zVATM":                    -10.339870,
-		"zWlZb":                    -10.339870,
-		"zZPRfOJagN":               -10.339870,
-		"zaTX":                     -10.339870,
-		"zauhiEvMOy":               -10.339870,
-		"zcDuhpOynFWhwP":           -10.339870,
-		"zcUTqrSK":                 -10.339870,
-		"zdAcqI":                   -10.339870,
-		"zdbBVnXZDb":               -10.339870,
-		"zeaE":                     -10.339870,
-		"zfTnvfO/cMErAQxjpINkbUKd": -10.339870,
-		"zh":                       -10.339870,
-		"zi":                       -10.339870,
-		"zip":                      -10.339870,
-		"zkw":                      -10.339870,
-		"zl":                       -10.339870,
-		"zmJmgFn/":                 -10.339870,
-		"zmkIb":                    -10.339870,
-		"zn":                       -10.339870,
-		"zoTPLVy":                  -10.339870,
-		"zpC":                      -10.339870,
-		"zq":                       -10.339870,
-		"zqRfBsr":                  -10.339870,
-		"zstcuAu":                  -10.339870,
-		"zszOzL":                   -10.339870,
-		"zt":                       -10.339870,
-		"zvmeJKzcDAxRqoRSK":        -10.339870,
-		"zwh":                      -10.339870,
-		"zwr":                      -10.339870,
-		"zyBe":                     -10.339870,
-		"zytUAlydFzDXJeIGGBsgbByC": -10.339870,
-		"zyv":                      -10.339870,
-		"{":                        -6.314518,
-		"||":                       -9.241257,
-		"}":                        -6.314518,
-		"ê":                        -8.953575,
-		"ó":                        -9.646723,
-		"ö":                        -9.646723,
-		"ü":                        -9.646723,
-		"–":                        -8.953575,
-		"‘":                        -9.241257,
-		"’":                        -9.241257,
-		"“":                        -10.339870,
-		"”":                        -10.339870,
-		"⚊":                        -10.339870,
-		"\ufeff":                   -7.941974,
+		"!":                                      -10.344513,
+		"#c":                                     -10.344513,
+		"#x":                                     -7.946618,
+		"$":                                      -6.760994,
+		"%":                                      -9.245901,
+		"&":                                      -6.733595,
+		"&&":                                     -9.651366,
+		"'":                                      -9.651366,
+		"(":                                      -5.124157,
+		")":                                      -5.157127,
+		"*":                                      -8.735075,
+		"*after*":                                -9.651366,
+		"*always*":                               -10.344513,
+		"*before*":                               -9.651366,
+		"*must*":                                 -10.344513,
+		"*ptr_":                                  -10.344513,
+		"+":                                      -4.637403,
+		",":                                      -4.607941,
+		"-":                                      -4.660933,
+		".":                                      -7.086416,
+		"..":                                     -8.552753,
+		"...":                                    -9.245901,
+		".AllowNoMatch":                          -9.245901,
+		".NET":                                   -8.265071,
+		".NETPlatform":                           -10.344513,
+		".SourceFile.FilePath":                   -10.344513,
+		".ToHashSet":                             -10.344513,
+		".ToLower":                               -10.344513,
+		".x":                                     -10.344513,
+		".xml":                                   -10.344513,
+		"/":                                      -6.910526,
+		"//Start":                                -10.344513,
+		"//github.com/github/hubot/LICENSEmd":    -10.344513,
+		"//hubot.github.com":                     -10.344513,
+		"//www.freemedforms.com/":                -10.344513,
+		"//www.zotero.org/styles/modern":         -10.344513,
+		"/AALjR":                                 -10.344513,
+		"/AaEwRe":                                -10.344513,
+		"/BViIrschKZGVtJbKyFsmKS":                -10.344513,
+		"/C":                                     -10.344513,
+		"/CQBTmT":                                -10.344513,
+		"/CQBTmb":                                -10.344513,
+		"/DwVX":                                  -10.344513,
+		"/Eul":                                   -10.344513,
+		"/Gn":                                    -10.344513,
+		"/NJH":                                   -10.344513,
+		"/NUVOyZSDbl":                            -10.344513,
+		"/OLgnzj":                                -10.344513,
+		"/P":                                     -10.344513,
+		"/PEstbNVJVKbAhvPOVTx":                   -10.344513,
+		"/Prefer":                                -9.651366,
+		"/TwnkalWdpzgYTPUrsX":                    -10.344513,
+		"/VxEnzMR":                               -10.344513,
+		"/W":                                     -10.344513,
+		"/WsAOrFo":                               -10.344513,
+		"/YBQkRflz":                              -10.344513,
+		"/ZJBpCGcs":                              -10.344513,
+		"/_PlatformToolsetFriendlyNameFor_v":     -10.344513,
+		"/_PlatformToolsetShortNameFor_v":        -10.344513,
+		"/aNwbxb":                                -10.344513,
+		"/ah":                                    -10.344513,
+		"/akgN":                                  -10.344513,
+		"/axcZ/":                                 -10.344513,
+		"/bQBzF":                                 -10.344513,
+		"/cmKYzGmiZHz/Vlx":                       -10.344513,
+		"/ewjxLtQfcl":                            -10.344513,
+		"/ggxQw":                                 -10.344513,
+		"/hHJDvCAZ":                              -10.344513,
+		"/iUBDHV":                                -10.344513,
+		"/icBDF":                                 -10.344513,
+		"/kQKPFV":                                -10.344513,
+		"/kUsr":                                  -10.344513,
+		"/l":                                     -10.344513,
+		"/p/W":                                   -10.344513,
+		"/qUBTHJ":                                -10.344513,
+		"/ri":                                    -10.344513,
+		"/tUSO":                                  -10.344513,
+		"/zHZ":                                   -10.344513,
+		"/zZjX":                                  -10.344513,
+		"/zwRFOQoSzEP":                           -10.344513,
+		"0":                                      -5.540492,
+		"000000":                                 -9.651366,
+		"02":                                     -10.344513,
+		"1":                                      -5.962486,
+		"10":                                     -6.977217,
+		"100":                                    -10.344513,
+		"10px":                                   -9.245901,
+		"11":                                     -6.733595,
+		"113883":                                 -6.818152,
+		"11px":                                   -10.344513,
+		"12":                                     -9.651366,
+		"12b3c8bb":                               -9.651366,
+		"13":                                     -7.511299,
+		"135":                                    -8.147288,
+		"13px":                                   -10.344513,
+		"14":                                     -8.735075,
+		"14px":                                   -10.344513,
+		"15":                                     -8.041928,
+		"15px":                                   -8.147288,
+		"16":                                     -6.760994,
+		"16px":                                   -10.344513,
+		"17":                                     -9.651366,
+		"17px":                                   -10.344513,
+		"18":                                     -10.344513,
+		"1999":                                   -9.245901,
+		"19px":                                   -9.651366,
+		"1a5f3280b8ba":                           -9.651366,
+		"2":                                      -6.583313,
+		"20":                                     -7.454141,
+		"2001":                                   -8.552753,
+		"2004":                                   -10.344513,
+		"2010":                                   -10.344513,
+		"2011":                                   -10.344513,
+		"2014":                                   -10.344513,
+		"20px":                                   -6.977217,
+		"21px":                                   -10.344513,
+		"22":                                     -9.651366,
+		"25":                                     -6.473312,
+		"250":                                    -8.147288,
+		"26":                                     -8.552753,
+		"27px":                                   -10.344513,
+		"2f323b":                                 -9.245901,
+		"2px":                                    -9.651366,
+		"3":                                      -6.630941,
+		"30":                                     -9.245901,
+		"30px":                                   -7.012308,
+		"32":                                     -6.818152,
+		"36":                                     -10.344513,
+		"36px":                                   -9.651366,
+		"3px":                                    -7.946618,
+		"4":                                      -7.348781,
+		"40":                                     -10.344513,
+		"400":                                    -10.344513,
+		"40px":                                   -8.552753,
+		"42px":                                   -9.651366,
+		"48px":                                   -9.651366,
+		"4f83":                                   -9.651366,
+		"5":                                      -9.651366,
+		"57":                                     -8.147288,
+		"5px":                                    -10.344513,
+		"6":                                      -9.651366,
+		"7":                                      -9.651366,
+		"8":                                      -9.245901,
+		"840":                                    -6.818152,
+		"88":                                     -6.818152,
+		"9":                                      -9.651366,
+		"9085":                                   -9.651366,
+		"9B9B9B":                                 -9.245901,
+		":":                                      -5.492483,
+		";":                                      -5.244646,
+		"<":                                      -8.265071,
+		"<!-->":                                  -8.265071,
+		"<!ATTLIST>":                             -9.651366,
+		"<!DOCTYPE>":                             -8.735075,
+		"<!ELEMENT>":                             -8.958218,
+		"<!ENTITY>":                              -6.943315,
+		"<![%body.attlist;[>":                    -10.344513,
+		"<![%body.element;[>":                    -10.344513,
+		"<![%head.element;[>":                    -10.344513,
+		"<![%html.element;[>":                    -10.344513,
+		"<![%title.attlist;[>":                   -10.344513,
+		"<![%title.element;[>":                   -10.344513,
+		"<![CDATA[//>":                           -9.651366,
+		"</App>":                                 -9.651366,
+		"</AppDesignerFolder>":                   -9.245901,
+		"</AppliesTo>":                           -10.344513,
+		"</AppxManifest>":                        -10.344513,
+		"</ArtifactsDir>":                        -10.344513,
+		"</Assembly>":                            -8.041928,
+		"</AssemblyName>":                        -8.735075,
+		"</AssemblyVersion>":                     -10.344513,
+		"</Assets>":                              -10.344513,
+		"</AutoGen>":                             -9.245901,
+		"</AutoGenerateBindingRedirects>":        -9.245901,
+		"</AutoVisualizer>":                      -10.344513,
+		"</BorderPane>":                          -10.344513,
+		"</Button>":                              -9.651366,
+		"</CLRSupport>":                          -9.651366,
+		"</CharacterSet>":                        -9.651366,
+		"</Choose>":                              -10.344513,
+		"</ClCompile>":                           -8.552753,
+		"</ClInclude>":                           -9.651366,
+		"</Compile>":                             -9.245901,
+		"</CompilerInfo>":                        -10.344513,
+		"</Config>":                              -6.910526,
+		"</ConfigFiles>":                         -10.344513,
+		"</ConfigOptions>":                       -6.943315,
+		"</Configs>":                             -10.344513,
+		"</Configuration>":                       -7.571924,
+		"</ConfigurationSettings>":               -9.245901,
+		"</ConfigurationType>":                   -9.651366,
+		"</CopyToMask>":                          -6.943315,
+		"</CurrentBuildDateStamp>":               -10.344513,
+		"</CurrentBuildDir>":                     -10.344513,
+		"</CurrentBuildTimeStamp>":               -10.344513,
+		"</CustomParameters>":                    -10.344513,
+		"</CustomToolNamespace>":                 -9.651366,
+		"</DataConnections>":                     -10.344513,
+		"</DebugSymbols>":                        -8.552753,
+		"</DebugType>":                           -8.398603,
+		"</DefaultLanguage>":                     -10.344513,
+		"</DefaultName>":                         -10.344513,
+		"</DefineConstants>":                     -8.265071,
+		"</DefineDebug>":                         -9.651366,
+		"</DefineTrace>":                         -9.651366,
+		"</Dependencies>":                        -10.344513,
+		"</DependentUpon>":                       -9.245901,
+		"</Description>":                         -9.651366,
+		"</DesignTime>":                          -10.344513,
+		"</DesignTimeSharedInput>":               -10.344513,
+		"</Dir>":                                 -9.651366,
+		"</DirectoryNamespaceAssociation>":       -10.344513,
+		"</Dirs>":                                -10.344513,
+		"</DisableFastUpToDateCheck>":            -10.344513,
+		"</DisplayName>":                         -10.344513,
+		"</DisplayString>":                       -6.583313,
+		"</DockPanel>":                           -10.344513,
+		"</DocumentationFile>":                   -8.735075,
+		"</DotNetNamingPolicy>":                  -10.344513,
+		"</EdgeNavigator>":                       -10.344513,
+		"</EmbeddedResource>":                    -10.344513,
+		"</EnableDotNetNativeCompatibleProfile>": -10.344513,
+		"</ErrorReport>":                         -8.735075,
+		"</EventConnections>":                    -10.344513,
+		"</Expand>":                              -8.147288,
+		"</ExpandedItem>":                        -8.552753,
+		"</Extensions>":                          -9.245901,
+		"</FB>":                                  -9.245901,
+		"</FBNetwork>":                           -10.344513,
+		"</FSharpTargetsPath>":                   -9.651366,
+		"</FileAlignment>":                       -9.245901,
+		"</FileWidth>":                           -10.344513,
+		"</Filter>":                              -8.041928,
+		"</FullClassName>":                       -9.651366,
+		"</GenerateDebugInformation>":            -9.651366,
+		"</Generator>":                           -9.245901,
+		"</Grid>":                                -10.344513,
+		"</Group>":                               -10.344513,
+		"</HBox.margin>":                         -10.344513,
+		"</HBox>":                                -10.344513,
+		"</HintPath>":                            -9.651366,
+		"</Icon>":                                -10.344513,
+		"</Image>":                               -10.344513,
+		"</ImportGroup>":                         -8.958218,
+		"</InputEndpoints>":                      -10.344513,
+		"</Installation>":                        -10.344513,
+		"</IntermediateOutputPath>":              -9.651366,
+		"</IsTutorial>":                          -10.344513,
+		"</Item>":                                -7.779563,
+		"</ItemDefinitionGroup>":                 -9.651366,
+		"</ItemGroup>":                           -6.655633,
+		"</Keyword>":                             -10.344513,
+		"</LastGenOutput>":                       -9.245901,
+		"</LayoutAnimation>":                     -10.344513,
+		"</Link>":                                -9.651366,
+		"</LinkIncremental>":                     -9.651366,
+		"</MacroReference>":                      -10.344513,
+		"</Metadata>":                            -10.344513,
+		"</MinimumVisualStudioVersion>":          -9.651366,
+		"</MyType>":                              -10.344513,
+		"</NDepend>":                             -10.344513,
+		"</Name>":                                -8.265071,
+		"</Nemerle>":                             -10.344513,
+		"</NemerleBinPathRoot>":                  -10.344513,
+		"</NemerleVersion>":                      -10.344513,
+		"</NoStdLib>":                            -10.344513,
+		"</NoTabsAfterNonTabs>":                  -10.344513,
+		"</NoWarn>":                              -9.651366,
+		"</None>":                                -9.651366,
+		"</NuGetTargetMoniker>":                  -10.344513,
+		"</NumberOfParentCategoriesToRollUp>":    -10.344513,
+		"</Optimization>":                        -10.344513,
+		"</Optimize>":                            -8.265071,
+		"</OptionCompare>":                       -10.344513,
+		"</OptionExplicit>":                      -10.344513,
+		"</OptionInfer>":                         -10.344513,
+		"</OptionStrict>":                        -10.344513,
+		"</Otherwise>":                           -10.344513,
+		"</OutputDir>":                           -10.344513,
+		"</OutputName>":                          -10.344513,
+		"</OutputPath>":                          -7.946618,
+		"</OutputType>":                          -8.398603,
+		"</PackageFiles>":                        -10.344513,
+		"</PackageManifest>":                     -10.344513,
+		"</PackageTargetFramework>":              -10.344513,
+		"</Panel>":                               -9.245901,
+		"</PhysicsObject>":                       -10.344513,
+		"</PhysicsObjectAngularDamping>":         -10.344513,
+		"</PhysicsObjectAwake>":                  -10.344513,
+		"</PhysicsObjectDensity>":                -10.344513,
+		"</PhysicsObjectFriction>":               -10.344513,
+		"</PhysicsObjectGroup>":                  -10.344513,
+		"</PhysicsObjectKinematic>":              -10.344513,
+		"</PhysicsObjectLinearDamping>":          -10.344513,
+		"</PhysicsObjectRestitution>":            -10.344513,
+		"</PhysicsObjectSensor>":                 -10.344513,
+		"</PhysicsObjectShape>":                  -10.344513,
+		"</Platform>":                            -7.571924,
+		"</PlatformTarget>":                      -8.552753,
+		"</PlatformToolset>":                     -9.245901,
+		"</PolicySet>":                           -10.344513,
+		"</PrecompiledHeader>":                   -8.958218,
+		"</PreprocessorDefinitions>":             -9.651366,
+		"</Private>":                             -9.245901,
+		"</ProductVersion>":                      -9.245901,
+		"</Project>":                             -7.454141,
+		"</ProjectConfiguration>":                -8.041928,
+		"</ProjectGuid>":                         -8.041928,
+		"</ProjectItem>":                         -8.958218,
+		"</ProjectReference>":                    -9.651366,
+		"</ProjectRoot>":                         -10.344513,
+		"</ProjectType>":                         -10.344513,
+		"</ProjectVersion>":                      -10.344513,
+		"</PropertyGroup>":                       -6.630941,
+		"</Queries>":                             -10.344513,
+		"</Query>":                               -9.651366,
+		"</RealOSVersion>":                       -10.344513,
+		"</Rectangle>":                           -9.651366,
+		"</Reference>":                           -7.779563,
+		"</References>":                          -10.344513,
+		"</Report>":                              -10.344513,
+		"</RequiredFrameworkVersion>":            -10.344513,
+		"</RequiredTargetFramework>":             -9.245901,
+		"</ResourceCompile>":                     -10.344513,
+		"</ResourceNamePolicy>":                  -10.344513,
+		"</ResourceType>":                        -10.344513,
+		"</Role>":                                -9.651366,
+		"</RoleName>":                            -10.344513,
+		"</RoleType>":                            -10.344513,
+		"</RootNamespace>":                       -8.552753,
+		"</SchemaVersion>":                       -9.245901,
+		"</Section>":                             -7.946618,
+		"</ServiceConfiguration>":                -9.651366,
+		"</ServiceDefinition>":                   -10.344513,
+		"</ShowByDefault>":                       -10.344513,
+		"</SolutionRoot>":                        -10.344513,
+		"</SpecificVersion>":                     -10.344513,
+		"</StackPanel>":                          -10.344513,
+		"</StartDevelopmentStorage>":             -10.344513,
+		"</StartupObject>":                       -10.344513,
+		"</SubSystem>":                           -9.651366,
+		"</SubType>":                             -9.651366,
+		"</SupportedFramework>":                  -10.344513,
+		"</Switch>":                              -10.344513,
+		"</Synthetic>":                           -7.348781,
+		"</TEI>":                                 -10.344513,
+		"</TS>":                                  -9.245901,
+		"</TableView>":                           -10.344513,
+		"</TabsToSpaces>":                        -10.344513,
+		"</Tailcalls>":                           -9.651366,
+		"</Target>":                              -7.348781,
+		"</TargetFSharpCoreVersion>":             -10.344513,
+		"</TargetFrameworkVersion>":              -8.735075,
+		"</TargetOsAndVersion>":                  -10.344513,
+		"</TargetPlatformIdentifier>":            -10.344513,
+		"</TargetPlatformMinVersion>":            -10.344513,
+		"</TargetPlatformVersion>":               -10.344513,
+		"</TemplateContent>":                     -10.344513,
+		"</TemplateData>":                        -10.344513,
+		"</TemplateID>":                          -10.344513,
+		"</Text>":                                -9.651366,
+		"</TextInput>":                           -10.344513,
+		"</TextStylePolicy>":                     -10.344513,
+		"</TutorialName>":                        -10.344513,
+		"</TutorialPage>":                        -10.344513,
+		"</TutorialState>":                       -10.344513,
+		"</Type>":                                -7.705456,
+		"</UniqueIdentifier>":                    -9.245901,
+		"</UseDebugLibraries>":                   -9.651366,
+		"</UseDotNetNativeToolchain>":            -9.245901,
+		"</VSTemplate>":                          -10.344513,
+		"</VersionMajor>":                        -10.344513,
+		"</VersionMinor>":                        -10.344513,
+		"</VersionPatch>":                        -10.344513,
+		"</VersionPreRelease>":                   -10.344513,
+		"</VerticalText>":                        -8.552753,
+		"</VisualStudioVersion>":                 -10.344513,
+		"</WarningLevel>":                        -8.147288,
+		"</WebConfig>":                           -10.344513,
+		"</WebRole>":                             -10.344513,
+		"</When>":                                -10.344513,
+		"</WhileKeyboardVisible>":                -10.344513,
+		"</WhilePressed>":                        -10.344513,
+		"</WhileTrue>":                           -10.344513,
+		"</WixTargetsPath>":                      -10.344513,
+		"</WizardData>":                          -10.344513,
+		"</WizardExtension>":                     -9.651366,
+		"</Workflow>":                            -10.344513,
+		"</a>":                                   -8.958218,
+		"</action>":                              -8.735075,
+		"</actions>":                             -10.344513,
+		"</active>":                              -10.344513,
+		"</alerts>":                              -10.344513,
+		"</argument>":                            -8.958218,
+		"</arguments>":                           -8.958218,
+		"</assembly>":                            -10.344513,
+		"</assert>":                              -7.048676,
+		"</assets>":                              -10.344513,
+		"</attDef>":                              -8.265071,
+		"</attList>":                             -8.147288,
+		"</author>":                              -10.344513,
+		"</authors>":                             -10.344513,
+		"</availability>":                        -10.344513,
+		"</background>":                          -8.735075,
+		"</backgrounds>":                         -10.344513,
+		"</bibliography>":                        -10.344513,
+		"</body>":                                -8.958218,
+		"</bottom>":                              -10.344513,
+		"</buildOutputProvider>":                 -6.655633,
+		"</c>":                                   -10.344513,
+		"</categories>":                          -9.651366,
+		"</category>":                            -9.245901,
+		"</ccEmails>":                            -10.344513,
+		"</cconfiguration>":                      -9.651366,
+		"</center>":                              -10.344513,
+		"</characteristics>":                     -10.344513,
+		"</children>":                            -10.344513,
+		"</choose>":                              -6.266975,
+		"</citation>":                            -10.344513,
+		"</classSpec>":                           -8.735075,
+		"</codestring>":                          -8.735075,
+		"</columns>":                             -10.344513,
+		"</component>":                           -10.344513,
+		"</configuration>":                       -9.245901,
+		"</configurations>":                      -10.344513,
+		"</connections>":                         -10.344513,
+		"</constant>":                            -6.473312,
+		"</constants>":                           -10.344513,
+		"</constraint>":                          -8.398603,
+		"</constraintSpec>":                      -8.398603,
+		"</content>":                             -10.344513,
+		"</context>":                             -9.245901,
+		"</copyright>":                           -10.344513,
+		"</cproject>":                            -10.344513,
+		"</data>":                                -6.789165,
+		"</datafile>":                            -6.943315,
+		"</datafiles>":                           -8.552753,
+		"</date>":                                -6.789165,
+		"</dependencies>":                        -9.245901,
+		"</dependencyList>":                      -10.344513,
+		"</depth>":                               -10.344513,
+		"</desc>":                                -5.855876,
+		"</description>":                         -8.552753,
+		"</descriptorBase>":                      -9.245901,
+		"</directory>":                           -9.651366,
+		"</disabledValue>":                       -10.344513,
+		"</displayName>":                         -10.344513,
+		"</div>":                                 -8.147288,
+		"</dllmap>":                              -10.344513,
+		"</doc>":                                 -10.344513,
+		"</docAuthor>":                           -9.245901,
+		"</docDate>":                             -10.344513,
+		"</docTitle>":                            -10.344513,
+		"</document>":                            -9.651366,
+		"</ea:build>":                            -10.344513,
+		"</echo>":                                -9.651366,
+		"</elementSpec>":                         -5.608314,
+		"</else-if>":                             -7.012308,
+		"</else>":                                -6.733595,
+		"</email>":                               -10.344513,
+		"</enabledValue>":                        -10.344513,
+		"</event>":                               -8.735075,
+		"</events>":                              -10.344513,
+		"</exception>":                           -10.344513,
+		"</exetype>":                             -8.735075,
+		"</exists>":                              -6.943315,
+		"</exportAction>":                        -6.943315,
+		"</exportDir>":                           -6.943315,
+		"</extensions>":                          -9.651366,
+		"</fileDesc>":                            -10.344513,
+		"</filename>":                            -6.943315,
+		"</files>":                               -10.344513,
+		"</filter>":                              -10.344513,
+		"</folderInfo>":                          -9.651366,
+		"</forceType>":                           -10.344513,
+		"</forceTypes>":                          -10.344513,
+		"</formula>":                             -10.344513,
+		"</freeData>":                            -6.943315,
+		"</front>":                               -10.344513,
+		"</fullName>":                            -9.651366,
+		"</functionname>":                        -8.735075,
+		"</gameSystem>":                          -10.344513,
+		"</gi>":                                  -9.245901,
+		"</gml:Point>":                           -9.651366,
+		"</gml:pos>":                             -9.651366,
+		"</group>":                               -9.651366,
+		"</head>":                                -7.859606,
+		"</help>":                                -10.344513,
+		"</hi>":                                  -8.265071,
+		"</id>":                                  -8.398603,
+		"</if>":                                  -6.266975,
+		"</image>":                               -6.943315,
+		"</info>":                                -9.651366,
+		"</inputType>":                           -9.651366,
+		"</isnot>":                               -8.735075,
+		"</isquestion>":                          -8.735075,
+		"</item>":                                -9.651366,
+		"</ivy-module>":                          -10.344513,
+		"</kind>":                                -8.147288,
+		"</layout>":                              -9.651366,
+		"</libid>":                               -8.735075,
+		"</licence>":                             -9.651366,
+		"</license>":                             -10.344513,
+		"</licenseUrl>":                          -10.344513,
+		"</list>":                                -10.344513,
+		"</locale>":                              -10.344513,
+		"</macro>":                               -7.166459,
+		"</map>":                                 -10.344513,
+		"</maskName>":                            -10.344513,
+		"</media>":                               -10.344513,
+		"</member>":                              -5.532328,
+		"</members>":                             -10.344513,
+		"</message>":                             -7.253470,
+		"</metadata>":                            -10.344513,
+		"</mj-attributes>":                       -10.344513,
+		"</mj-body>":                             -9.651366,
+		"</mj-button>":                           -7.705456,
+		"</mj-column>":                           -6.515871,
+		"</mj-container>":                        -9.651366,
+		"</mj-head>":                             -10.344513,
+		"</mj-image>":                            -7.012308,
+		"</mj-inline-links>":                     -10.344513,
+		"</mj-link>":                             -9.245901,
+		"</mj-navbar>":                           -10.344513,
+		"</mj-section>":                          -7.086416,
+		"</mj-social>":                           -10.344513,
+		"</mj-text>":                             -6.680951,
+		"</mj-title>":                            -10.344513,
+		"</mjml>":                                -9.651366,
+		"</model>":                               -6.125005,
+		"</modelSequence>":                       -8.735075,
+		"</module>":                              -10.344513,
+		"</multiple>":                            -10.344513,
+		"</name>":                                -6.760994,
+		"</names>":                               -7.400074,
+		"</ncl>":                                 -9.245901,
+		"</node>":                                -7.209019,
+		"</object>":                              -7.299990,
+		"</objects>":                             -8.398603,
+		"</option>":                              -8.958218,
+		"</overwrite>":                           -6.943315,
+		"</owners>":                              -10.344513,
+		"</p>":                                   -6.301462,
+		"</package>":                             -10.344513,
+		"</packages>":                            -10.344513,
+		"</param>":                               -5.855876,
+		"</parentName>":                          -10.344513,
+		"</pattern>":                             -9.651366,
+		"</persistent>":                          -10.344513,
+		"</phase>":                               -8.958218,
+		"</phpunit>":                             -10.344513,
+		"</placeholder>":                         -10.344513,
+		"</plugin>":                              -10.344513,
+		"</policies>":                            -10.344513,
+		"</policy>":                              -10.344513,
+		"</policyDefinitionResources>":           -10.344513,
+		"</policyDefinitions>":                   -10.344513,
+		"</policyNamespaces>":                    -10.344513,
+		"</profile>":                             -6.655633,
+		"</profileType>":                         -10.344513,
+		"</profileTypes>":                        -10.344513,
+		"</project>":                             -9.651366,
+		"</projectUrl>":                          -10.344513,
+		"</protected>":                           -10.344513,
+		"</publicationStmt>":                     -10.344513,
+		"</publisher>":                           -10.344513,
+		"</ref>":                                 -10.344513,
+		"</regionBase>":                          -9.245901,
+		"</relative>":                            -8.735075,
+		"</removeEnd>":                           -6.943315,
+		"</rendition>":                           -5.719540,
+		"</report>":                              -7.571924,
+		"</requireLicenseAcceptance>":            -10.344513,
+		"</resheader>":                           -8.265071,
+		"</resources>":                           -10.344513,
+		"</returns>":                             -6.760994,
+		"</rights>":                              -10.344513,
+		"</room>":                                -9.651366,
+		"</rooms>":                               -10.344513,
+		"</root>":                                -10.344513,
+		"</rtf>":                                 -10.344513,
+		"</rule>":                                -8.147288,
+		"</rules>":                               -10.344513,
+		"</scannerConfigBuildInfo>":              -8.958218,
+		"</scannerInfoProvider>":                 -6.655633,
+		"</schema>":                              -9.245901,
+		"</schemaSpec>":                          -10.344513,
+		"</script>":                              -5.975065,
+		"</scripts>":                             -7.348781,
+		"</senderType>":                          -10.344513,
+		"</shader>":                              -9.651366,
+		"</shaders>":                             -9.651366,
+		"</single>":                              -10.344513,
+		"</size>":                                -6.943315,
+		"</solid>":                               -10.344513,
+		"</sort>":                                -10.344513,
+		"</sound>":                               -8.958218,
+		"</sounds>":                              -10.344513,
+		"</source>":                              -7.253470,
+		"</sourceDesc>":                          -10.344513,
+		"</sourceEntries>":                       -9.651366,
+		"</span>":                                -9.651366,
+		"</specGrp>":                             -8.265071,
+		"</sprite>":                              -7.779563,
+		"</spriteName>":                          -10.344513,
+		"</sprites>":                             -9.651366,
+		"</storageModule>":                       -8.398603,
+		"</store>":                               -6.943315,
+		"</string>":                              -8.398603,
+		"</stringTable>":                         -10.344513,
+		"</strong>":                              -8.735075,
+		"</style>":                               -10.344513,
+		"</substitute>":                          -8.735075,
+		"</summary>":                             -5.516199,
+		"</target>":                              -9.651366,
+		"</teiHeader>":                           -10.344513,
+		"</template>":                            -10.344513,
+		"</term>":                                -7.400074,
+		"</terms>":                               -10.344513,
+		"</testsuite>":                           -10.344513,
+		"</testsuites>":                          -10.344513,
+		"</text>":                                -10.344513,
+		"</tile>":                                -6.943315,
+		"</tileset>":                             -10.344513,
+		"</title-short>":                         -10.344513,
+		"</title>":                               -8.735075,
+		"</titlePage>":                           -10.344513,
+		"</titlePart>":                           -10.344513,
+		"</titleStmt>":                           -10.344513,
+		"</tool>":                                -8.265071,
+		"</toolChain>":                           -9.651366,
+		"</translation>":                         -7.253470,
+		"</triggerType>":                         -10.344513,
+		"</type>":                                -10.344513,
+		"</typeparam>":                           -7.859606,
+		"</updated>":                             -10.344513,
+		"</url>":                                 -10.344513,
+		"</useapplyto>":                          -8.735075,
+		"</userelative>":                         -8.735075,
+		"</valItem>":                             -6.067847,
+		"</valList>":                             -8.398603,
+		"</value>":                               -8.735075,
+		"</vendor>":                              -10.344513,
+		"</version>":                             -10.344513,
+		"</visible>":                             -10.344513,
+		"</whitelist>":                           -10.344513,
+		"</whoName>":                             -8.735075,
+		"</window>":                              -10.344513,
+		"</x:call>":                              -10.344513,
+		"</x:description>":                       -10.344513,
+		"</x:scenario>":                          -10.344513,
+		"</xsd:choice>":                          -10.344513,
+		"</xsd:complexType>":                     -9.245901,
+		"</xsd:element>":                         -9.245901,
+		"</xsd:schema>":                          -10.344513,
+		"</xsd:sequence>":                        -9.651366,
+		"</xsl:function>":                        -10.344513,
+		"</xsl:variable>":                        -10.344513,
+		"<?exactMatch>":                          -6.374221,
+		"<?fileVersion>":                         -10.344513,
+		"<?import>":                              -8.958218,
+		"<?xml-model>":                           -10.344513,
+		"<?xml>":                                 -6.393269,
+		"<AdditionalDependencies>":               -9.651366,
+		"<AlternativeType>":                      -8.958218,
+		"<App>":                                  -9.651366,
+		"<AppDesignerFolder>":                    -9.245901,
+		"<AppliesTo>":                            -10.344513,
+		"<AppxManifest>":                         -10.344513,
+		"<ArtifactsDir>":                         -10.344513,
+		"<Assemblies>":                           -10.344513,
+		"<Assembly>":                             -8.041928,
+		"<AssemblyName>":                         -8.735075,
+		"<AssemblyVersion>":                      -10.344513,
+		"<Asset>":                                -10.344513,
+		"<Assets>":                               -10.344513,
+		"<AutoGen>":                              -9.245901,
+		"<AutoGenerateBindingRedirects>":         -9.245901,
+		"<AutoVisualizer>":                       -10.344513,
+		"<BaselineInUISetting>":                  -10.344513,
+		"<BorderPane>":                           -10.344513,
+		"<BuildComparisonSetting>":               -10.344513,
+		"<Button>":                               -9.651366,
+		"<CLRSupport>":                           -9.651366,
+		"<CallTarget>":                           -8.552753,
+		"<Change>":                               -10.344513,
+		"<CharacterSet>":                         -9.651366,
+		"<Choose>":                               -10.344513,
+		"<ClCompile>":                            -8.265071,
+		"<ClInclude>":                            -8.958218,
+		"<Compile>":                              -7.946618,
+		"<CompilerInfo>":                         -10.344513,
+		"<Config>":                               -6.910526,
+		"<ConfigFiles>":                          -10.344513,
+		"<ConfigOptions>":                        -6.943315,
+		"<Configs>":                              -10.344513,
+		"<Configuration>":                        -7.571924,
+		"<ConfigurationSettings>":                -9.245901,
+		"<ConfigurationType>":                    -9.651366,
+		"<Connection>":                           -8.552753,
+		"<Content>":                              -7.166459,
+		"<Copy>":                                 -9.245901,
+		"<CopyToMask>":                           -6.943315,
+		"<CoverageFiles>":                        -10.344513,
+		"<CurrentBuildDateStamp>":                -10.344513,
+		"<CurrentBuildDir>":                      -10.344513,
+		"<CurrentBuildTimeStamp>":                -10.344513,
+		"<CustomParameter>":                      -8.552753,
+		"<CustomParameters>":                     -10.344513,
+		"<CustomToolNamespace>":                  -9.651366,
+		"<DataConnections>":                      -10.344513,
+		"<DebugSymbols>":                         -8.552753,
+		"<DebugType>":                            -8.398603,
+		"<DefaultLanguage>":                      -10.344513,
+		"<DefaultName>":                          -10.344513,
+		"<DefineConstants>":                      -8.265071,
+		"<DefineDebug>":                          -9.651366,
+		"<DefineTrace>":                          -9.651366,
+		"<Delete>":                               -9.651366,
+		"<Dependencies>":                         -10.344513,
+		"<Dependency>":                           -9.651366,
+		"<DependentUpon>":                        -9.245901,
+		"<Description>":                          -9.651366,
+		"<DesignTime>":                           -10.344513,
+		"<DesignTimeSharedInput>":                -10.344513,
+		"<Dir>":                                  -9.651366,
+		"<DirectoryNamespaceAssociation>":        -10.344513,
+		"<Dirs>":                                 -10.344513,
+		"<DisableFastUpToDateCheck>":             -10.344513,
+		"<DisplayName>":                          -10.344513,
+		"<DisplayString>":                        -6.583313,
+		"<DockPanel>":                            -10.344513,
+		"<DocumentationFile>":                    -8.735075,
+		"<DotNetNamingPolicy>":                   -10.344513,
+		"<EdgeNavigator>":                        -10.344513,
+		"<EmbeddedResource>":                     -10.344513,
+		"<EnableDotNetNativeCompatibleProfile>":  -10.344513,
+		"<ErrorReport>":                          -8.735075,
+		"<EventConnections>":                     -10.344513,
+		"<Expand>":                               -8.147288,
+		"<ExpandedItem>":                         -8.552753,
+		"<Extensions>":                           -9.245901,
+		"<FB>":                                   -8.958218,
+		"<FBNetwork>":                            -10.344513,
+		"<FSharpTargetsPath>":                    -9.651366,
+		"<FileAlignment>":                        -9.245901,
+		"<FileWidth>":                            -10.344513,
+		"<Filter>":                               -8.041928,
+		"<Folder>":                               -10.344513,
+		"<FrameworkAssemblies>":                  -10.344513,
+		"<FullClassName>":                        -9.651366,
+		"<GenerateDebugInformation>":             -9.651366,
+		"<Generator>":                            -9.245901,
+		"<Grid>":                                 -10.344513,
+		"<Group>":                                -10.344513,
+		"<HBox.margin>":                          -10.344513,
+		"<HBox>":                                 -10.344513,
+		"<HintPath>":                             -9.651366,
+		"<Icon>":                                 -10.344513,
+		"<Identification>":                       -10.344513,
+		"<Identity>":                             -10.344513,
+		"<Image>":                                -9.651366,
+		"<Import>":                               -6.606843,
+		"<ImportGroup>":                          -8.958218,
+		"<InputEndpoint>":                        -10.344513,
+		"<InputEndpoints>":                       -10.344513,
+		"<Insets>":                               -10.344513,
+		"<Installation>":                         -10.344513,
+		"<InstallationTarget>":                   -10.344513,
+		"<Instances>":                            -9.651366,
+		"<IntermediateOutputPath>":               -9.651366,
+		"<IsTutorial>":                           -10.344513,
+		"<Item>":                                 -7.779563,
+		"<ItemDefinitionGroup>":                  -9.651366,
+		"<ItemGroup>":                            -6.655633,
+		"<Keyword>":                              -10.344513,
+		"<LastGenOutput>":                        -9.245901,
+		"<LayoutAnimation>":                      -10.344513,
+		"<Link>":                                 -9.651366,
+		"<LinkIncremental>":                      -9.651366,
+		"<MSBuild>":                              -10.344513,
+		"<MacroReference>":                       -10.344513,
+		"<MakeDir>":                              -9.651366,
+		"<Message>":                              -8.552753,
+		"<Metadata>":                             -10.344513,
+		"<MinimumVisualStudioVersion>":           -9.651366,
+		"<Move>":                                 -8.735075,
+		"<MyType>":                               -10.344513,
+		"<NDepend>":                              -10.344513,
+		"<Name>":                                 -8.552753,
+		"<Nemerle>":                              -10.344513,
+		"<NemerleBinPathRoot>":                   -10.344513,
+		"<NemerleVersion>":                       -10.344513,
+		"<NewExtensions/>":                       -10.344513,
+		"<NoStdLib>":                             -10.344513,
+		"<NoTabsAfterNonTabs>":                   -10.344513,
+		"<NoWarn>":                               -9.651366,
+		"<None>":                                 -8.398603,
+		"<NuGetTargetMoniker>":                   -10.344513,
+		"<NumberOfParentCategoriesToRollUp>":     -10.344513,
+		"<Optimization>":                         -10.344513,
+		"<Optimize>":                             -8.265071,
+		"<OptionCompare>":                        -10.344513,
+		"<OptionExplicit>":                       -10.344513,
+		"<OptionInfer>":                          -10.344513,
+		"<OptionStrict>":                         -10.344513,
+		"<Otherwise>":                            -10.344513,
+		"<OutputDir>":                            -10.344513,
+		"<OutputName>":                           -10.344513,
+		"<OutputPath>":                           -7.946618,
+		"<OutputType>":                           -8.398603,
+		"<PackageFiles>":                         -10.344513,
+		"<PackageManifest>":                      -10.344513,
+		"<PackageTargetFramework>":               -10.344513,
+		"<Panel>":                                -8.958218,
+		"<Parameter>":                            -9.245901,
+		"<PhysicsObject>":                        -10.344513,
+		"<PhysicsObjectAngularDamping>":          -10.344513,
+		"<PhysicsObjectAwake>":                   -10.344513,
+		"<PhysicsObjectDensity>":                 -10.344513,
+		"<PhysicsObjectFriction>":                -10.344513,
+		"<PhysicsObjectGroup>":                   -10.344513,
+		"<PhysicsObjectKinematic>":               -10.344513,
+		"<PhysicsObjectLinearDamping>":           -10.344513,
+		"<PhysicsObjectRestitution>":             -10.344513,
+		"<PhysicsObjectSensor>":                  -10.344513,
+		"<PhysicsObjectShape>":                   -10.344513,
+		"<PhysicsShapePoints/>":                  -10.344513,
+		"<Platform>":                             -7.571924,
+		"<PlatformTarget>":                       -8.552753,
+		"<PlatformToolset>":                      -9.245901,
+		"<PolicySet>":                            -10.344513,
+		"<PrecompiledHeader>":                    -8.958218,
+		"<PreprocessorDefinitions>":              -9.651366,
+		"<Private>":                              -9.245901,
+		"<ProductVersion>":                       -9.245901,
+		"<Project>":                              -7.400074,
+		"<ProjectConfiguration>":                 -8.041928,
+		"<ProjectGuid>":                          -8.041928,
+		"<ProjectItem>":                          -8.958218,
+		"<ProjectReference>":                     -8.735075,
+		"<ProjectRoot>":                          -10.344513,
+		"<ProjectType>":                          -10.344513,
+		"<ProjectVersion>":                       -10.344513,
+		"<PropertyGroup>":                        -6.606843,
+		"<Queries>":                              -10.344513,
+		"<Query>":                                -9.651366,
+		"<RealOSVersion>":                        -10.344513,
+		"<Rectangle>":                            -8.265071,
+		"<Reference>":                            -6.706927,
+		"<References>":                           -10.344513,
+		"<RemoveDir>":                            -10.344513,
+		"<Report>":                               -10.344513,
+		"<RequiredFrameworkVersion>":             -10.344513,
+		"<RequiredTargetFramework>":              -9.245901,
+		"<ResourceCompile>":                      -9.651366,
+		"<ResourceNamePolicy>":                   -10.344513,
+		"<ResourceType>":                         -10.344513,
+		"<Role>":                                 -9.651366,
+		"<RoleName>":                             -10.344513,
+		"<RoleType>":                             -10.344513,
+		"<RootNamespace>":                        -8.552753,
+		"<Rotation>":                             -10.344513,
+		"<SchemaVersion>":                        -9.245901,
+		"<Section>":                              -7.946618,
+		"<ServiceConfiguration>":                 -9.245901,
+		"<ServiceDefinition>":                    -9.651366,
+		"<Setting>":                              -9.245901,
+		"<ShowByDefault>":                        -10.344513,
+		"<SolutionRoot>":                         -10.344513,
+		"<SourceFileRebasing>":                   -10.344513,
+		"<SpecificVersion>":                      -10.344513,
+		"<StackPanel>":                           -10.344513,
+		"<StartDevelopmentStorage>":              -10.344513,
+		"<StartupObject>":                        -10.344513,
+		"<SubSystem>":                            -9.651366,
+		"<SubType>":                              -9.651366,
+		"<SupportedFramework>":                   -10.344513,
+		"<Switch>":                               -10.344513,
+		"<Synthetic>":                            -7.348781,
+		"<TEI>":                                  -10.344513,
+		"<TS>":                                   -9.245901,
+		"<TableColumn>":                          -9.245901,
+		"<TableView>":                            -10.344513,
+		"<TabsToSpaces>":                         -10.344513,
+		"<Tailcalls>":                            -9.651366,
+		"<Target>":                               -7.636463,
+		"<TargetFSharpCoreVersion>":              -10.344513,
+		"<TargetFrameworkVersion>":               -8.735075,
+		"<TargetOsAndVersion>":                   -10.344513,
+		"<TargetPlatformIdentifier>":             -10.344513,
+		"<TargetPlatformMinVersion>":             -10.344513,
+		"<TargetPlatformVersion>":                -10.344513,
+		"<TemplateContent>":                      -10.344513,
+		"<TemplateData>":                         -10.344513,
+		"<TemplateID>":                           -10.344513,
+		"<Text>":                                 -8.958218,
+		"<TextInput>":                            -10.344513,
+		"<TextStylePolicy>":                      -10.344513,
+		"<TopFrameBackground>":                   -10.344513,
+		"<TutorialName>":                         -10.344513,
+		"<TutorialPage>":                         -10.344513,
+		"<TutorialState>":                        -10.344513,
+		"<Type>":                                 -7.705456,
+		"<UniqueIdentifier>":                     -9.245901,
+		"<UseDebugLibraries>":                    -9.651366,
+		"<UseDotNetNativeToolchain>":             -9.245901,
+		"<UsingTask>":                            -9.651366,
+		"<VSTemplate>":                           -10.344513,
+		"<VersionInfo>":                          -10.344513,
+		"<VersionMajor>":                         -10.344513,
+		"<VersionMinor>":                         -10.344513,
+		"<VersionPatch>":                         -10.344513,
+		"<VersionPreRelease>":                    -10.344513,
+		"<VerticalText>":                         -8.552753,
+		"<VisualStudioVersion>":                  -10.344513,
+		"<WarnFilter>":                           -10.344513,
+		"<WarningLevel>":                         -8.147288,
+		"<WebConfig>":                            -10.344513,
+		"<WebRole>":                              -10.344513,
+		"<When>":                                 -10.344513,
+		"<WhileKeyboardVisible>":                 -10.344513,
+		"<WhilePressed>":                         -10.344513,
+		"<WhileTrue>":                            -10.344513,
+		"<WixTargetsPath>":                       -10.344513,
+		"<WizardData>":                           -10.344513,
+		"<WizardExtension>":                      -9.651366,
+		"<Workflow>":                             -10.344513,
+		"<XmlPoke>":                              -10.344513,
+		"<Zip>":                                  -10.344513,
+		"<ZipFiles>":                             -10.344513,
+		"<a>":                                    -8.958218,
+		"<action>":                               -8.735075,
+		"<actions>":                              -10.344513,
+		"<active>":                               -6.154858,
+		"<additionalInput>":                      -8.958218,
+		"<alerts>":                               -10.344513,
+		"<anchor>":                               -10.344513,
+		"<argument>":                             -8.958218,
+		"<arguments>":                            -8.958218,
+		"<assembly>":                             -10.344513,
+		"<assert>":                               -7.048676,
+		"<assets>":                               -10.344513,
+		"<attDef>":                               -7.705456,
+		"<attList>":                              -8.147288,
+		"<author>":                               -10.344513,
+		"<authors>":                              -10.344513,
+		"<autodiscovery>":                        -8.735075,
+		"<autoresizingMask>":                     -10.344513,
+		"<availability>":                         -10.344513,
+		"<background>":                           -8.735075,
+		"<backgrounds>":                          -10.344513,
+		"<bibliography>":                         -10.344513,
+		"<body>":                                 -8.958218,
+		"<bottom>":                               -10.344513,
+		"<br>":                                   -8.735075,
+		"<buildOutputProvider>":                  -6.655633,
+		"<builder>":                              -9.651366,
+		"<c>":                                    -10.344513,
+		"<categories>":                           -9.651366,
+		"<category>":                             -8.735075,
+		"<ccEmails>":                             -10.344513,
+		"<cconfiguration>":                       -9.651366,
+		"<center>":                               -10.344513,
+		"<characteristic>":                       -10.344513,
+		"<characteristics>":                      -10.344513,
+		"<children>":                             -10.344513,
+		"<choose>":                               -6.266975,
+		"<citation>":                             -10.344513,
+		"<classRef>":                             -8.552753,
+		"<classSpec>":                            -7.299990,
+		"<codestring>":                           -8.735075,
+		"<color>":                                -10.344513,
+		"<columns>":                              -10.344513,
+		"<component>":                            -10.344513,
+		"<conf>":                                 -9.651366,
+		"<configuration>":                        -9.245901,
+		"<configurations>":                       -10.344513,
+		"<connections>":                          -10.344513,
+		"<constant>":                             -6.473312,
+		"<constants>":                            -10.344513,
+		"<constraint>":                           -8.398603,
+		"<constraintSpec>":                       -8.398603,
+		"<content>":                              -10.344513,
+		"<context>":                              -9.245901,
+		"<copyright/>":                           -10.344513,
+		"<copyright>":                            -10.344513,
+		"<cproject>":                             -10.344513,
+		"<customObject>":                         -10.344513,
+		"<data>":                                 -6.789165,
+		"<datafile>":                             -6.943315,
+		"<datafiles>":                            -8.552753,
+		"<date-part>":                            -5.833653,
+		"<date>":                                 -6.789165,
+		"<decimal>":                              -9.651366,
+		"<dependencies>":                         -9.245901,
+		"<dependency>":                           -8.735075,
+		"<dependencyList>":                       -10.344513,
+		"<depth>":                                -10.344513,
+		"<desc>":                                 -5.855876,
+		"<description>":                          -8.552753,
+		"<descriptor>":                           -9.245901,
+		"<descriptorBase>":                       -9.245901,
+		"<directory>":                            -9.651366,
+		"<disabledValue>":                        -10.344513,
+		"<displayName>":                          -10.344513,
+		"<div>":                                  -8.147288,
+		"<dllentry>":                             -9.651366,
+		"<dllmap>":                               -10.344513,
+		"<doc>":                                  -10.344513,
+		"<docAuthor>":                            -9.245901,
+		"<docDate>":                              -10.344513,
+		"<docTitle>":                             -10.344513,
+		"<document>":                             -9.651366,
+		"<ea:build>":                             -9.651366,
+		"<ea:plugin>":                            -9.651366,
+		"<ea:property>":                          -10.344513,
+		"<echo>":                                 -9.651366,
+		"<edge>":                                 -7.571924,
+		"<elementRef>":                           -6.583313,
+		"<elementSpec>":                          -5.608314,
+		"<else-if>":                              -7.012308,
+		"<else>":                                 -6.733595,
+		"<email>":                                -10.344513,
+		"<enabledValue>":                         -10.344513,
+		"<entry>":                                -9.651366,
+		"<event>":                                -8.735075,
+		"<events>":                               -10.344513,
+		"<exception>":                            -10.344513,
+		"<exclude-output>":                       -10.344513,
+		"<exetype>":                              -8.735075,
+		"<exists>":                               -6.943315,
+		"<exportAction>":                         -6.943315,
+		"<exportDir>":                            -6.943315,
+		"<extension>":                            -7.859606,
+		"<extensions>":                           -9.651366,
+		"<externalSettings/>":                    -9.651366,
+		"<file>":                                 -10.344513,
+		"<fileDesc>":                             -10.344513,
+		"<filename>":                             -6.943315,
+		"<files>":                                -10.344513,
+		"<filter>":                               -10.344513,
+		"<folderInfo>":                           -9.651366,
+		"<font>":                                 -7.209019,
+		"<forceType>":                            -10.344513,
+		"<forceTypes/>":                          -10.344513,
+		"<forceTypes>":                           -10.344513,
+		"<formula>":                              -10.344513,
+		"<freeData>":                             -6.943315,
+		"<front>":                                -10.344513,
+		"<fullName>":                             -9.651366,
+		"<functionname>":                         -8.735075,
+		"<gameSystem>":                           -10.344513,
+		"<gi>":                                   -9.245901,
+		"<gml:Point>":                            -9.651366,
+		"<gml:pos>":                              -9.651366,
+		"<group>":                                -9.651366,
+		"<head>":                                 -7.859606,
+		"<help>":                                 -10.344513,
+		"<hi>":                                   -8.265071,
+		"<hook>":                                 -10.344513,
+		"<id>":                                   -8.398603,
+		"<if>":                                   -6.266975,
+		"<image>":                                -6.943315,
+		"<include>":                              -9.245901,
+		"<info>":                                 -9.651366,
+		"<inputType>":                            -8.265071,
+		"<isnot>":                                -8.735075,
+		"<isquestion>":                           -8.735075,
+		"<item>":                                 -9.651366,
+		"<ivy-module>":                           -10.344513,
+		"<key>":                                  -9.651366,
+		"<kind>":                                 -8.147288,
+		"<label>":                                -8.398603,
+		"<layout>":                               -9.651366,
+		"<let>":                                  -7.779563,
+		"<libid>":                                -8.735075,
+		"<licence>":                              -9.651366,
+		"<license>":                              -10.344513,
+		"<licenseUrl>":                           -10.344513,
+		"<link>":                                 -9.651366,
+		"<list>":                                 -10.344513,
+		"<listOptionValue>":                      -8.958218,
+		"<locale>":                               -10.344513,
+		"<location>":                             -7.571924,
+		"<m:ns>":                                 -8.735075,
+		"<macro>":                                -7.166459,
+		"<map>":                                  -10.344513,
+		"<maskName>":                             -10.344513,
+		"<media>":                                -8.958218,
+		"<member>":                               -5.532328,
+		"<members>":                              -10.344513,
+		"<message>":                              -7.253470,
+		"<metadata>":                             -10.344513,
+		"<mj-all>":                               -10.344513,
+		"<mj-attributes>":                        -10.344513,
+		"<mj-body>":                              -9.651366,
+		"<mj-button>":                            -7.705456,
+		"<mj-column>":                            -6.515871,
+		"<mj-container>":                         -9.651366,
+		"<mj-divider>":                           -9.651366,
+		"<mj-font>":                              -9.651366,
+		"<mj-head>":                              -10.344513,
+		"<mj-image>":                             -7.012308,
+		"<mj-inline-links>":                      -10.344513,
+		"<mj-link>":                              -9.245901,
+		"<mj-navbar>":                            -10.344513,
+		"<mj-section>":                           -7.086416,
+		"<mj-social>":                            -10.344513,
+		"<mj-text>":                              -6.655633,
+		"<mj-title>":                             -10.344513,
+		"<mjml>":                                 -9.651366,
+		"<model>":                                -5.208714,
+		"<modelSequence>":                        -8.735075,
+		"<modifiers/>":                           -10.344513,
+		"<module>":                               -10.344513,
+		"<moduleRef>":                            -9.651366,
+		"<multiple>":                             -10.344513,
+		"<name/>":                                -9.245901,
+		"<name>":                                 -6.337180,
+		"<names>":                                -7.048676,
+		"<ncl>":                                  -9.245901,
+		"<node>":                                 -7.209019,
+		"<ns>":                                   -8.398603,
+		"<number>":                               -7.946618,
+		"<object>":                               -7.299990,
+		"<objects>":                              -8.398603,
+		"<openAction>":                           -6.655633,
+		"<option>":                               -7.571924,
+		"<orderEntry>":                           -9.245901,
+		"<outlet>":                               -10.344513,
+		"<overwrite>":                            -6.943315,
+		"<owners>":                               -10.344513,
+		"<p>":                                    -6.301462,
+		"<package>":                              -7.348781,
+		"<packages>":                             -10.344513,
+		"<param>":                                -5.855876,
+		"<parentCategory>":                       -9.651366,
+		"<parentName>":                           -10.344513,
+		"<parser>":                               -5.962486,
+		"<paths>":                                -10.344513,
+		"<pattern>":                              -9.651366,
+		"<persistent>":                           -10.344513,
+		"<phase>":                                -8.958218,
+		"<phpunit>":                              -10.344513,
+		"<placeholder>":                          -9.651366,
+		"<plugIn>":                               -9.651366,
+		"<plugin>":                               -10.344513,
+		"<policies>":                             -10.344513,
+		"<policy>":                               -10.344513,
+		"<policyDefinitionResources>":            -10.344513,
+		"<policyDefinitions>":                    -10.344513,
+		"<policyNamespaces>":                     -10.344513,
+		"<port>":                                 -9.245901,
+		"<profile>":                              -6.655633,
+		"<profileType>":                          -10.344513,
+		"<profileTypes>":                         -10.344513,
+		"<project>":                              -9.651366,
+		"<projectUrl>":                           -10.344513,
+		"<property>":                             -9.651366,
+		"<protected>":                            -10.344513,
+		"<publicationStmt>":                      -10.344513,
+		"<publisher>":                            -10.344513,
+		"<rect>":                                 -10.344513,
+		"<ref>":                                  -10.344513,
+		"<region>":                               -9.245901,
+		"<regionBase>":                           -9.245901,
+		"<relative>":                             -8.735075,
+		"<removeEnd>":                            -6.943315,
+		"<rendition>":                            -5.709784,
+		"<report>":                               -7.571924,
+		"<requireLicenseAcceptance>":             -10.344513,
+		"<resheader>":                            -8.398603,
+		"<resources>":                            -9.651366,
+		"<returns>":                              -6.760994,
+		"<rights>":                               -10.344513,
+		"<room>":                                 -9.651366,
+		"<rooms>":                                -10.344513,
+		"<root>":                                 -10.344513,
+		"<rtf>":                                  -10.344513,
+		"<rule>":                                 -8.147288,
+		"<rules>":                                -10.344513,
+		"<runAction>":                            -6.655633,
+		"<scannerConfigBuildInfo>":               -8.958218,
+		"<scannerInfoProvider>":                  -6.655633,
+		"<scenes/>":                              -10.344513,
+		"<schema>":                               -9.245901,
+		"<schemaSpec>":                           -10.344513,
+		"<script>":                               -5.975065,
+		"<scripts>":                              -7.348781,
+		"<senderType>":                           -10.344513,
+		"<shader>":                               -9.651366,
+		"<shaders>":                              -9.651366,
+		"<single>":                               -10.344513,
+		"<size>":                                 -6.943315,
+		"<solid>":                                -10.344513,
+		"<sort>":                                 -10.344513,
+		"<sound>":                                -8.958218,
+		"<sounds>":                               -10.344513,
+		"<source>":                               -7.253470,
+		"<sourceDesc>":                           -10.344513,
+		"<sourceEntries>":                        -9.651366,
+		"<sourceFolder>":                         -9.651366,
+		"<span>":                                 -9.651366,
+		"<specGrp>":                              -8.265071,
+		"<specGrpRef>":                           -8.147288,
+		"<sprite>":                               -7.779563,
+		"<spriteName>":                           -10.344513,
+		"<sprites>":                              -9.651366,
+		"<storageModule>":                        -7.705456,
+		"<store>":                                -6.943315,
+		"<string>":                               -8.398603,
+		"<stringTable>":                          -10.344513,
+		"<strong>":                               -8.735075,
+		"<style-options>":                        -10.344513,
+		"<style>":                                -10.344513,
+		"<substitute>":                           -8.735075,
+		"<summary>":                              -5.516199,
+		"<supportedOn>":                          -10.344513,
+		"<target>":                               -9.245901,
+		"<targetPlatform>":                       -9.651366,
+		"<teiHeader>":                            -10.344513,
+		"<template>":                             -10.344513,
+		"<term>":                                 -7.400074,
+		"<terms>":                                -10.344513,
+		"<testsuite>":                            -10.344513,
+		"<testsuites>":                           -10.344513,
+		"<text>":                                 -4.563769,
+		"<tile>":                                 -6.943315,
+		"<tileset>":                              -10.344513,
+		"<title-short>":                          -10.344513,
+		"<title>":                                -8.735075,
+		"<titlePage>":                            -10.344513,
+		"<titlePart>":                            -10.344513,
+		"<titleStmt>":                            -10.344513,
+		"<tool>":                                 -7.859606,
+		"<toolChain>":                            -9.651366,
+		"<translation>":                          -7.253470,
+		"<triggerType>":                          -10.344513,
+		"<type>":                                 -10.344513,
+		"<typeparam>":                            -7.859606,
+		"<updated>":                              -10.344513,
+		"<url>":                                  -10.344513,
+		"<useapplyto>":                           -8.735075,
+		"<userelative>":                          -8.735075,
+		"<using>":                                -10.344513,
+		"<valItem>":                              -5.925672,
+		"<valList>":                              -8.398603,
+		"<value-of>":                             -6.760994,
+		"<value>":                                -8.735075,
+		"<vendor>":                               -10.344513,
+		"<version>":                              -10.344513,
+		"<visible>":                              -10.344513,
+		"<whitelist>":                            -10.344513,
+		"<whoName>":                              -8.735075,
+		"<window>":                               -10.344513,
+		"<x:call>":                               -10.344513,
+		"<x:description>":                        -10.344513,
+		"<x:expect>":                             -10.344513,
+		"<x:param>":                              -10.344513,
+		"<x:scenario>":                           -10.344513,
+		"<xsd:attribute>":                        -8.958218,
+		"<xsd:choice>":                           -10.344513,
+		"<xsd:complexType>":                      -9.245901,
+		"<xsd:element>":                          -8.552753,
+		"<xsd:schema>":                           -10.344513,
+		"<xsd:sequence>":                         -9.651366,
+		"<xsl:function>":                         -10.344513,
+		"<xsl:include>":                          -10.344513,
+		"<xsl:param>":                            -10.344513,
+		"<xsl:sequence>":                         -10.344513,
+		"<xsl:value-of>":                         -9.245901,
+		"<xsl:variable>":                         -10.344513,
+		"=":                                      -6.393269,
+		">":                                      -6.878777,
+		"?":                                      -10.344513,
+		"@align":                                 -10.344513,
+		"A":                                      -6.706927,
+		"A/":                                     -10.344513,
+		"AAAAAASUVORK":                           -10.344513,
+		"AAAAABJRU":                              -10.344513,
+		"AAAAAElFTkSuQmCC":                       -9.651366,
+		"AAAAASUVORK":                            -9.245901,
+		"AAD":                                    -10.344513,
+		"AB":                                     -10.344513,
+		"ABAD":                                   -10.344513,
+		"ABNZRANiOw":                             -10.344513,
+		"ABY":                                    -10.344513,
+		"AC":                                     -7.946618,
+		"ACvKyUk":                                -10.344513,
+		"AE":                                     -10.344513,
+		"AFhTiBlAzABCvEUt":                       -10.344513,
+		"AH":                                     -6.878777,
+		"AHOfoP":                                 -10.344513,
+		"AHTQnuq/EpedjfCjOU":                     -10.344513,
+		"AHxIzDcNxSb":                            -10.344513,
+		"AINp":                                   -10.344513,
+		"AJYyUM":                                 -10.344513,
+		"AKwwNk":                                 -10.344513,
+		"ALDER":                                  -10.344513,
+		"ALHjBgFCECH":                            -10.344513,
+		"ANDERSON":                               -10.344513,
+		"APFOMI":                                 -10.344513,
+		"APfnVRznOjVXwmmZl/upfrfNUtVwAOBqFKxVC/c": -10.344513,
+		"AQ":                                -9.651366,
+		"AQMKGDI":                           -10.344513,
+		"AQfg/ug":                           -10.344513,
+		"ARFaQyrqz":                         -10.344513,
+		"ARM":                               -9.651366,
+		"AS":                                -10.344513,
+		"ASZt":                              -10.344513,
+		"ATTLIST":                           -9.651366,
+		"ATgvlQ/F":                          -10.344513,
+		"AUT":                               -10.344513,
+		"AUcp":                              -10.344513,
+		"AVlWoqYpVKPv":                      -10.344513,
+		"AWem":                              -10.344513,
+		"AXRtF":                             -10.344513,
+		"AXc":                               -10.344513,
+		"AZCSXu":                            -10.344513,
+		"AZPLMmQ":                           -10.344513,
+		"Aa":                                -10.344513,
+		"AbapkqBjVPJSc":                     -10.344513,
+		"Abstractness":                      -10.344513,
+		"Active=":                           -9.245901,
+		"Ad":                                -10.344513,
+		"AddRange":                          -9.651366,
+		"AdwmhUhAp":                         -10.344513,
+		"Aenean":                            -8.552753,
+		"AfUc":                              -10.344513,
+		"Afr/":                              -10.344513,
+		"Agp":                               -10.344513,
+		"AhfFda":                            -10.344513,
+		"AiEBMTFTUVFRUVT":                   -10.344513,
+		"AiqfqIHZUoznbTfURwYA":              -10.344513,
+		"AjQb":                              -10.344513,
+		"AkBgKtYYWHYAEgyENAHiY":             -10.344513,
+		"AkT":                               -10.344513,
+		"AkmIky":                            -10.344513,
+		"AkqTsdFiKTLAfUvYI":                 -10.344513,
+		"Al":                                -10.344513,
+		"AlHZSrgwEkFAWFjxSyNe":              -10.344513,
+		"Alert":                             -9.651366,
+		"Alice":                             -8.147288,
+		"Alignment=":                        -7.705456,
+		"Aliquam":                           -8.735075,
+		"All":                               -10.344513,
+		"AmAaEzqhq":                         -10.344513,
+		"An":                                -7.086416,
+		"AnYNENO":                           -10.344513,
+		"Analysis":                          -10.344513,
+		"Anderson":                          -10.344513,
+		"Another":                           -9.245901,
+		"AnyCPU":                            -7.253470,
+		"AoJqPdNrZA":                        -10.344513,
+		"ApO":                               -10.344513,
+		"AppName=":                          -10.344513,
+		"Application":                       -8.735075,
+		"Application.Designer.vb":           -10.344513,
+		"Application.Fields":                -10.344513,
+		"Application.myapp":                 -10.344513,
+		"ApplicationProject":                -9.651366,
+		"Apr.":                              -10.344513,
+		"AqdW":                              -10.344513,
+		"Archiver":                          -9.651366,
+		"Arial":                             -8.041928,
+		"ArtifactsDir":                      -10.344513,
+		"Assembler":                         -9.651366,
+		"Assemblies":                        -8.735075,
+		"AssemblyInfo":                      -10.344513,
+		"AssemblyName":                      -10.344513,
+		"AssemblyName=":                     -9.651366,
+		"Association":                       -10.344513,
+		"AsyncGet":                          -10.344513,
+		"AtHddI":                            -10.344513,
+		"Attempts":                          -10.344513,
+		"Attribute":                         -10.344513,
+		"Attribution":                       -9.651366,
+		"Aug.":                              -10.344513,
+		"Author=":                           -10.344513,
+		"AwGd":                              -10.344513,
+		"AwziG":                             -10.344513,
+		"Ay":                                -10.344513,
+		"AyDux":                             -10.344513,
+		"Ayj":                               -10.344513,
+		"AykpfCcbzv":                        -10.344513,
+		"Azd":                               -10.344513,
+		"Azure":                             -9.651366,
+		"B":                                 -8.041928,
+		"BACKPACK":                          -9.651366,
+		"BAQzkc/Yiwa":                       -10.344513,
+		"BB":                                -10.344513,
+		"BBF":                               -10.344513,
+		"BD":                                -10.344513,
+		"BE":                                -10.344513,
+		"BEERgmhJvAntKLwLtJ":                -10.344513,
+		"BETp":                              -10.344513,
+		"BEXKairlYSrFbiL":                   -10.344513,
+		"BEYOND":                            -10.344513,
+		"BF":                                -8.958218,
+		"BFkfl":                             -10.344513,
+		"BHQfJdA":                           -10.344513,
+		"BHg/":                              -10.344513,
+		"BINARY":                            -9.245901,
+		"BJB":                               -10.344513,
+		"BKeWMTHEAAAAASUVORK":               -10.344513,
+		"BLAKE":                             -10.344513,
+		"BNaj":                              -10.344513,
+		"BOD":                               -10.344513,
+		"BOOLEAN":                           -9.245901,
+		"BP":                                -10.344513,
+		"BRMHZxrN":                          -10.344513,
+		"BSD":                               -9.651366,
+		"BT":                                -9.651366,
+		"BU":                                -10.344513,
+		"BUY":                               -8.041928,
+		"BWhS":                              -10.344513,
+		"BY":                                -9.651366,
+		"BZKTz":                             -10.344513,
+		"BZTHTUEApqXA":                      -10.344513,
+		"BZbsTlEFENAOUGToAAKuVkF":           -10.344513,
+		"BZwrcT":                            -10.344513,
+		"BaV":                               -10.344513,
+		"Background":                        -10.344513,
+		"Background=":                       -10.344513,
+		"Bb":                                -10.344513,
+		"BcB":                               -10.344513,
+		"BcLIHxOwJwKsGn":                    -10.344513,
+		"BeWrUMuH":                          -10.344513,
+		"BeZ":                               -10.344513,
+		"Beach":                             -10.344513,
+		"BfZOgtUvT":                         -10.344513,
+		"Bh/MYCpnCu/etFOCtqntXs":            -10.344513,
+		"Binary":                            -10.344513,
+		"BindTo":                            -10.344513,
+		"Bit":                               -8.958218,
+		"BiznjmAJ":                          -10.344513,
+		"Bkyx":                              -10.344513,
+		"BlD":                               -10.344513,
+		"Block":                             -10.344513,
+		"Blue":                              -10.344513,
+		"BngynDNjR/":                        -10.344513,
+		"BorderPane":                        -9.651366,
+		"Bot":                               -9.651366,
+		"Bowerbird.Website":                 -10.344513,
+		"BpMhFh":                            -10.344513,
+		"BpjkTw":                            -10.344513,
+		"Brian":                             -10.344513,
+		"Build":                             -10.344513,
+		"BuildFileToCompareWith=":           -9.651366,
+		"BuildMode=":                        -9.651366,
+		"BuildNumber":                       -10.344513,
+		"Builder":                           -9.651366,
+		"But":                               -10.344513,
+		"Bv":                                -10.344513,
+		"BwZFjJ/":                           -10.344513,
+		"ByFBSUsgwTH":                       -10.344513,
+		"ByIhoqOjj":                         -10.344513,
+		"C":                                 -7.012308,
+		"C/":                                -10.344513,
+		"C/a":                               -10.344513,
+		"C3":                                -10.344513,
+		"CAMDEN":                            -10.344513,
+		"CAP":                               -10.344513,
+		"CAiTht":                            -10.344513,
+		"CAnQcpC":                           -10.344513,
+		"CC":                                -9.245901,
+		"CCBV":                              -10.344513,
+		"CENTER":                            -9.651366,
+		"CFIdOZl/f":                         -10.344513,
+		"CGJxYdmTeSQK":                      -10.344513,
+		"CHESTERK":                          -10.344513,
+		"CHOICE":                            -10.344513,
+		"CHhUN":                             -10.344513,
+		"CIAGxpAiSIkiKmKhAIBD":              -10.344513,
+		"CIARt":                             -10.344513,
+		"CITbW":                             -10.344513,
+		"CIaRRWE":                           -10.344513,
+		"CIqj":                              -10.344513,
+		"CJSyCb":                            -10.344513,
+		"CKoMja":                            -10.344513,
+		"CKwoXU":                            -10.344513,
+		"CMSf":                              -10.344513,
+		"CMbdI":                             -10.344513,
+		"CMpxMkRTfq":                        -10.344513,
+		"COLLECTION":                        -10.344513,
+		"COLOR=":                            -7.209019,
+		"COWNDkOiwzABEH":                    -10.344513,
+		"CPJP":                              -10.344513,
+		"CPU":                               -10.344513,
+		"CQL":                               -10.344513,
+		"CQLBhEhI":                          -10.344513,
+		"CQMWKNfN":                          -10.344513,
+		"CREATED=":                          -7.209019,
+		"CRHgschwPGQwZq":                    -10.344513,
+		"CRykv/BD":                          -10.344513,
+		"CSharp":                            -9.651366,
+		"CTAESWG":                           -10.344513,
+		"CTEsEAZI":                          -10.344513,
+		"CTwKKPh":                           -10.344513,
+		"CURRENCIES":                        -10.344513,
+		"CUV/UfX":                           -10.344513,
+		"CUi":                               -10.344513,
+		"CVI":                               -10.344513,
+		"CXSejzLweCFdrHpxwd":                -10.344513,
+		"CXdfLj":                            -10.344513,
+		"CYII":                              -7.779563,
+		"CZcI":                              -10.344513,
+		"Call":                              -10.344513,
+		"Case":                              -9.651366,
+		"CbXcT":                             -10.344513,
+		"CcYDOp":                            -10.344513,
+		"Cci":                               -10.344513,
+		"CdMl":                              -10.344513,
+		"Cdsn":                              -10.344513,
+		"Cdsrihynd":                         -10.344513,
+		"Cgxy":                              -10.344513,
+		"ChDMV":                             -10.344513,
+		"Change":                            -9.651366,
+		"ChangeTrackingEnabled":             -9.651366,
+		"Changed":                           -8.958218,
+		"Changed.":                          -10.344513,
+		"Changing":                          -8.735075,
+		"Changing/Changed":                  -10.344513,
+		"Chv":                               -10.344513,
+		"CiZYdOx":                           -10.344513,
+		"CkEwP":                             -10.344513,
+		"CkrO":                              -10.344513,
+		"Class":                             -10.344513,
+		"Classes":                           -10.344513,
+		"Clc":                               -10.344513,
+		"CmtNKszQTkv":                       -10.344513,
+		"Collection.Select":                 -10.344513,
+		"Color=":                            -8.265071,
+		"Colour":                            -10.344513,
+		"ColumnCount=":                      -10.344513,
+		"Comment=":                          -10.344513,
+		"Common":                            -8.552753,
+		"Commons":                           -9.651366,
+		"Compiler":                          -8.958218,
+		"Conceptually":                      -10.344513,
+		"Concurrency":                       -10.344513,
+		"Condition=":                        -5.913696,
+		"Configs":                           -10.344513,
+		"Configuration":                     -7.209019,
+		"Consider":                          -9.651366,
+		"Console":                           -9.245901,
+		"Consortium":                        -9.651366,
+		"Consortium.":                       -10.344513,
+		"Constructor":                       -9.651366,
+		"Constructs":                        -8.958218,
+		"Converts":                          -9.651366,
+		"Cooking":                           -9.651366,
+		"Copyright":                         -10.344513,
+		"Count.":                            -8.958218,
+		"Covariant":                         -10.344513,
+		"CpBDtlBGMCrepTdSfjCFnZgpIA/":       -10.344513,
+		"CqlmZiaeaKICmChBlCEM":              -10.344513,
+		"Cr":                                -10.344513,
+		"Create":                            -9.651366,
+		"Created":                           -9.651366,
+		"Creates":                           -9.245901,
+		"Creative":                          -9.651366,
+		"CrkMNJ":                            -10.344513,
+		"CsQJANgoSKJosgAdGkFYgKxFcgKlZUnHn": -10.344513,
+		"CslOmoqiV":                         -10.344513,
+		"Culture":                           -8.958218,
+		"Culture=":                          -9.245901,
+		"Current":                           -10.344513,
+		"CurrentBuildDateStamp":             -9.651366,
+		"CurrentBuildDir":                   -9.245901,
+		"CurrentProject":                    -10.344513,
+		"CurrentUser":                       -10.344513,
+		"CxC":                               -10.344513,
+		"CyXMw":                             -10.344513,
+		"D":                                 -7.400074,
+		"D.gml":                             -10.344513,
+		"DA":                                -9.651366,
+		"DBzumGHJAQ":                        -10.344513,
+		"DCiF":                              -10.344513,
+		"DDY":                               -10.344513,
+		"DEBUG":                             -8.958218,
+		"DF":                                -10.344513,
+		"DGcYROKR":                          -10.344513,
+		"DHgTsDrjqEfzWhZz":                  -10.344513,
+		"DICTIONARY":                        -9.245901,
+		"DISCOVER":                          -10.344513,
+		"DInstances.gml":                    -10.344513,
+		"DK":                                -10.344513,
+		"DLYhwPU":                           -10.344513,
+		"DLwIOYb":                           -10.344513,
+		"DMBDsp/hHDxQcOFBwwMAgwMDEIKAgoCAgUqBhqH": -10.344513,
+		"DMTyFYXHyPW/XVDiQt":                      -10.344513,
+		"DO":                                      -10.344513,
+		"DOUBLE":                                  -9.245901,
+		"DPLsfvqmLbdDuCYTACnMrPzlUKPABgfPBXfeBXvb": -10.344513,
+		"DPVhouJ":                          -10.344513,
+		"DQAw":                             -10.344513,
+		"DQQ":                              -10.344513,
+		"DS":                               -10.344513,
+		"DSound.gml":                       -9.651366,
+		"DTes":                             -10.344513,
+		"DU":                               -9.651366,
+		"DUEMgWEkIGATT":                    -10.344513,
+		"DVXz":                             -10.344513,
+		"Date=":                            -10.344513,
+		"DcefYT":                           -10.344513,
+		"DclzSa":                           -10.344513,
+		"Debug":                            -7.166459,
+		"Dec.":                             -10.344513,
+		"Default":                          -10.344513,
+		"DefaultTargets=":                  -7.859606,
+		"DeferredScheduler":                -10.344513,
+		"Degrees=":                         -10.344513,
+		"Delegate":                         -10.344513,
+		"Delivered":                        -10.344513,
+		"Dependencies":                     -9.651366,
+		"Dependency":                       -10.344513,
+		"DependsOnTargets=":                -9.651366,
+		"Designer":                         -8.735075,
+		"Destination=":                     -8.552753,
+		"DestinationFiles=":                -9.245901,
+		"Determins":                        -9.651366,
+		"Deus":                             -9.651366,
+		"Device":                           -10.344513,
+		"DgTM":                             -10.344513,
+		"DhckMiKMQW/":                      -10.344513,
+		"DhsF":                             -10.344513,
+		"DiIOZYTzzEVxFMAk":                 -10.344513,
+		"DiNd":                             -10.344513,
+		"Directories=":                     -9.245901,
+		"Directory":                        -10.344513,
+		"Disable":                          -10.344513,
+		"DisableFastUpToDateCheck":         -10.344513,
+		"Disabled":                         -10.344513,
+		"Discard":                          -9.651366,
+		"Dispatcher":                       -9.245901,
+		"DispatcherScheduler":              -10.344513,
+		"DisplayList=":                     -9.651366,
+		"DisplayName=":                     -9.651366,
+		"DisplaySelectionView=":            -9.651366,
+		"DisplayStat=":                     -9.651366,
+		"Distance":                         -10.344513,
+		"Distributed":                      -10.344513,
+		"DjJJwtwLYNMEg":                    -10.344513,
+		"DkRaBTPW":                         -10.344513,
+		"Dkr":                              -10.344513,
+		"Dkw":                              -10.344513,
+		"DmOOBORacaakZwjQt":                -10.344513,
+		"DmgAAAABJRU":                      -10.344513,
+		"DoJCqsxFsr":                       -10.344513,
+		"Dock=":                            -10.344513,
+		"Donec":                            -9.651366,
+		"DqhhkHITU":                        -10.344513,
+		"Drawing":                          -9.651366,
+		"DtEqRriJh":                        -10.344513,
+		"DuBGdhSCY":                        -10.344513,
+		"Duration=":                        -8.735075,
+		"During":                           -10.344513,
+		"Dvs/yGQU":                         -10.344513,
+		"DwN":                              -10.344513,
+		"DwohPN":                           -10.344513,
+		"DxvyeEhGkL":                       -10.344513,
+		"Dyczrd":                           -10.344513,
+		"E":                                -7.209019,
+		"EAE8E5":                           -10.344513,
+		"EAOW":                             -10.344513,
+		"EAt":                              -10.344513,
+		"EB":                               -10.344513,
+		"EBAsrP":                           -10.344513,
+		"EBFB":                             -10.344513,
+		"EBJxplu":                          -10.344513,
+		"EBPJRG":                           -10.344513,
+		"ECEq":                             -10.344513,
+		"ECNTy":                            -10.344513,
+		"EDSCgB":                           -10.344513,
+		"EDTVProfile":                      -10.344513,
+		"EEBO":                             -8.735075,
+		"EED":                              -10.344513,
+		"EEDC":                             -10.344513,
+		"EF":                               -10.344513,
+		"EGa":                              -10.344513,
+		"EHI":                              -10.344513,
+		"EI":                               -10.344513,
+		"EJEtETL":                          -10.344513,
+		"EKMZ":                             -10.344513,
+		"ELARmTvRa":                        -10.344513,
+		"ELacEEAFzuQCqmDMD":                -10.344513,
+		"ELvd":                             -10.344513,
+		"ENRICH":                           -10.344513,
+		"ENTITY":                           -8.735075,
+		"ENVRG":                            -10.344513,
+		"EQAMVMZQzANAlQcUfvUpePBlrRgUD":    -10.344513,
+		"EQEC":                             -10.344513,
+		"EQPKPgPU":                         -10.344513,
+		"EQVR":                             -8.041928,
+		"ESfRdb":                           -10.344513,
+		"EU":                               -10.344513,
+		"EXPRESS":                          -10.344513,
+		"EYRiPlPfdooQhMJ":                  -10.344513,
+		"Each":                             -10.344513,
+		"Ead":                              -10.344513,
+		"EbBnLm":                           -10.344513,
+		"Edge":                             -10.344513,
+		"EdgeNavigation":                   -10.344513,
+		"EdgeNavigator":                    -10.344513,
+		"EgDRNmk":                          -10.344513,
+		"EgSjaQs":                          -10.344513,
+		"EhtMdsFIHujZ":                     -10.344513,
+		"EhuEMI":                           -10.344513,
+		"Ehul":                             -10.344513,
+		"Ei":                               -10.344513,
+		"Elements":                         -10.344513,
+		"Eli":                              -10.344513,
+		"Email":                            -10.344513,
+		"EnNR":                             -10.344513,
+		"Enabled=":                         -7.946618,
+		"Enables":                          -9.651366,
+		"Encoding":                         -9.651366,
+		"England":                          -9.245901,
+		"English":                          -9.651366,
+		"EnrjHnjB":                         -10.344513,
+		"Enrollment":                       -8.735075,
+		"Ensure":                           -10.344513,
+		"Entry":                            -9.651366,
+		"Eo":                               -10.344513,
+		"Epidoc":                           -10.344513,
+		"EqhJHfMGbAsYdIgORkLjFUaNXENpCcE":  -10.344513,
+		"ErZYNvvLeEplAU":                   -10.344513,
+		"Eric":                             -10.344513,
+		"ErkJggg":                          -8.735075,
+		"EsFChVpZ":                         -10.344513,
+		"EsLye":                            -10.344513,
+		"Esc":                              -9.651366,
+		"Estate":                           -10.344513,
+		"Et":                               -10.344513,
+		"Ets":                              -10.344513,
+		"EuluS":                            -10.344513,
+		"European":                         -10.344513,
+		"Evaluates":                        -10.344513,
+		"Evi":                              -10.344513,
+		"EvzuinJYiBfBty":                   -10.344513,
+		"Ex":                               -10.344513,
+		"Example":                          -10.344513,
+		"Exception":                        -10.344513,
+		"Exclude=":                         -9.651366,
+		"Exe":                              -8.958218,
+		"Expression":                       -8.398603,
+		"Ez":                               -10.344513,
+		"F":                                -8.958218,
+		"F#":                               -10.344513,
+		"F44E3C":                           -9.245901,
+		"FFFFFF":                           -7.705456,
+		"FIXED":                            -10.344513,
+		"FLORAL":                           -10.344513,
+		"FPI":                              -10.344513,
+		"FPSController_alarm":              -9.651366,
+		"FPSController_create":             -10.344513,
+		"FPSController_create.gml":         -10.344513,
+		"FPSController_step":               -10.344513,
+		"FPSController_step.gml":           -10.344513,
+		"FREE":                             -10.344513,
+		"FSharp":                           -9.651366,
+		"F_Speed.gml":                      -10.344513,
+		"Fabric":                           -8.958218,
+		"False":                            -9.651366,
+		"Feb.":                             -10.344513,
+		"Fields":                           -10.344513,
+		"FileFormatDefault":                -10.344513,
+		"Files":                            -8.041928,
+		"Files=":                           -9.245901,
+		"Fires":                            -7.705456,
+		"FlEjmDpA":                         -10.344513,
+		"Flags=":                           -10.344513,
+		"Foakes":                           -10.344513,
+		"For":                              -9.245901,
+		"FrakturType":                      -10.344513,
+		"Framework":                        -8.398603,
+		"FreeMedForms":                     -10.344513,
+		"FreeMedForms.":                    -10.344513,
+		"Freepik":                          -10.344513,
+		"FromPath=":                        -10.344513,
+		"Functions":                        -9.245901,
+		"FxD":                              -10.344513,
+		"G":                                -8.265071,
+		"G/":                               -10.344513,
+		"GBlnZkG":                          -10.344513,
+		"GCC":                              -9.651366,
+		"GGDLmu/htRhtEBBruGRgqi":           -10.344513,
+		"GMO_colorFilter_shader.shader":    -10.344513,
+		"GMO_disableZBuffer.gml":           -10.344513,
+		"GMO_draw":                         -10.344513,
+		"GMO_drawCameraSurface.gml":        -10.344513,
+		"GMO_enableZBuffer.gml":            -10.344513,
+		"GMO_followObject.gml":             -10.344513,
+		"GMO_getCameraAngle.gml":           -10.344513,
+		"GMO_getCameraMode.gml":            -10.344513,
+		"GMO_getCameraSurface.gml":         -10.344513,
+		"GMO_getCameraZFar.gml":            -10.344513,
+		"GMO_getCameraZNear.gml":           -10.344513,
+		"GMO_getIPD":                       -9.245901,
+		"GMO_getIPD.gml":                   -10.344513,
+		"GMO_getResolutionHeight":          -10.344513,
+		"GMO_getResolutionHeight.gml":      -10.344513,
+		"GMO_getResolutionWidth":           -10.344513,
+		"GMO_getResolutionWidth.gml":       -10.344513,
+		"GMO_getSurface.gml":               -10.344513,
+		"GMO_getUse":                       -10.344513,
+		"GMO_getVersion.gml":               -10.344513,
+		"GMO_getVersionString":             -10.344513,
+		"GMO_getVersionString.gml":         -10.344513,
+		"GMO_hmdwarp_shader.shader":        -10.344513,
+		"GMO_init.gml":                     -10.344513,
+		"GMO_initCamera.gml":               -10.344513,
+		"GMO_initDefaults.gml":             -10.344513,
+		"GMO_initShaders.gml":              -10.344513,
+		"GMO_initSurfaces.gml":             -10.344513,
+		"GMO_renderAnaglyph.gml":           -10.344513,
+		"GMO_renderCamera.gml":             -10.344513,
+		"GMO_renderOculus.gml":             -10.344513,
+		"GMO_renderRegular.gml":            -10.344513,
+		"GMO_resetSurfaces.gml":            -10.344513,
+		"GMO_setCameraAngle.gml":           -10.344513,
+		"GMO_setCameraMode.gml":            -10.344513,
+		"GMO_setCameraRange.gml":           -10.344513,
+		"GMO_setIPD":                       -9.651366,
+		"GMO_setIPD.gml":                   -10.344513,
+		"GMO_setResolution.gml":            -10.344513,
+		"GMO_setUse":                       -10.344513,
+		"GMO_updateListener.gml":           -10.344513,
+		"GMOculus.dll":                     -9.651366,
+		"GPLv":                             -10.344513,
+		"GR_cmToPixel.gml":                 -10.344513,
+		"GR_init.gml":                      -10.344513,
+		"GR_meterToPixel.gml":              -10.344513,
+		"GRlgqeqrk":                        -10.344513,
+		"GS":                               -10.344513,
+		"GScsqdxXrWUpBWzn":                 -10.344513,
+		"GSct":                             -10.344513,
+		"GUm":                              -10.344513,
+		"GV/W":                             -10.344513,
+		"GXD":                              -10.344513,
+		"GXSvZQnCKJOu":                     -10.344513,
+		"GXj/K":                            -10.344513,
+		"GZ":                               -10.344513,
+		"GZSWBMscVAJhjDDCTBYjAmOUTQfUysQ":  -10.344513,
+		"Gales":                            -9.651366,
+		"GameSystem":                       -10.344513,
+		"GbA":                              -10.344513,
+		"Gd":                               -10.344513,
+		"GetFieldNameForProperty":          -10.344513,
+		"GetFieldNameForPropertyNameFunc.": -10.344513,
+		"GgI":                              -10.344513,
+		"GgyQnqOl":                         -10.344513,
+		"GhB/pxvXNxBYq/":                   -10.344513,
+		"GhwkVwyFRABvKbEkKvfI":             -10.344513,
+		"GiJXDaNvAXzsD":                    -10.344513,
+		"Given":                            -9.245901,
+		"GjFhB":                            -10.344513,
+		"GktTQOGSHcvMA":                    -10.344513,
+		"Gl":                               -10.344513,
+		"GlTjly":                           -10.344513,
+		"GlbfnRMDgTj":                      -10.344513,
+		"GmvtY":                            -10.344513,
+		"GoROT":                            -10.344513,
+		"God":                              -9.651366,
+		"GosOJ":                            -10.344513,
+		"Graph":                            -10.344513,
+		"Guidelines":                       -9.245901,
+		"GwlqLObCpk":                       -10.344513,
+		"Gx":                               -10.344513,
+		"GxIBDfvxeOE":                      -10.344513,
+		"Gy":                               -10.344513,
+		"Gyn":                              -10.344513,
+		"GzVqDFvljl":                       -10.344513,
+		"GzlyWGJcdEnEiIYHS":                -10.344513,
+		"H":                                -7.636463,
+		"H/JQyloC/bHK":                     -10.344513,
+		"HAS_SENT_TIME_BIT":                -9.651366,
+		"HB":                               -10.344513,
+		"HBgohM":                           -10.344513,
+		"HBocGoBIpyyoqQnSas":               -10.344513,
+		"HBpLAxpiOZDGy":                    -10.344513,
+		"HCEKRIVMDKXuLDaGuOZwCp":           -10.344513,
+		"HCsvaVn":                          -10.344513,
+		"HEMIkfvkoy":                       -10.344513,
+		"HEoFIoE":                          -10.344513,
+		"HFCI":                             -10.344513,
+		"HFGT":                             -10.344513,
+		"HISmQtElmJrCUnLlWbZsWlwbHiEhAkrCEXREMQJEVsAoKkiE": -10.344513,
+		"HITSP_C":                               -10.344513,
+		"HKWVdDDpKstJB":                         -10.344513,
+		"HPe":                                   -10.344513,
+		"HPeZZtknXG":                            -10.344513,
+		"HQiyBoNdi":                             -10.344513,
+		"HSSvSkC":                               -10.344513,
+		"HTQKkuZByK":                            -10.344513,
+		"HVBRKyPmhPKkCCT":                       -10.344513,
+		"HWwc":                                  -10.344513,
+		"HXDYIn":                                -10.344513,
+		"HYSkZYnnFjQ":                           -10.344513,
+		"HYSl":                                  -10.344513,
+		"Haq":                                   -10.344513,
+		"HcY":                                   -10.344513,
+		"HctIlWNtqQfZM":                         -10.344513,
+		"HdWjfNUiYgtxtyiYDb":                    -10.344513,
+		"HeadOpts":                              -9.651366,
+		"Header":                                -9.651366,
+		"Header*":                               -10.344513,
+		"Height=":                               -8.041928,
+		"Hej":                                   -10.344513,
+		"Helvetica":                             -8.041928,
+		"Hence":                                 -10.344513,
+		"HgmWU":                                 -10.344513,
+		"Hh":                                    -9.651366,
+		"HiJH":                                  -10.344513,
+		"High":                                  -10.344513,
+		"HitTestMode=":                          -10.344513,
+		"HjH":                                   -10.344513,
+		"HkSedItDym/ET":                         -10.344513,
+		"HlFFVCq":                               -10.344513,
+		"HlzMjxaXq":                             -10.344513,
+		"Hm":                                    -10.344513,
+		"Hn":                                    -10.344513,
+		"HnM":                                   -10.344513,
+		"HnVVe":                                 -10.344513,
+		"HoKyU":                                 -10.344513,
+		"HonlgLg":                               -10.344513,
+		"House":                                 -10.344513,
+		"However":                               -10.344513,
+		"HqlUFGq":                               -10.344513,
+		"HqqnFP":                                -10.344513,
+		"Hss/n":                                 -10.344513,
+		"HtXHSclggU":                            -10.344513,
+		"Hugh":                                  -9.651366,
+		"HvQfiMy":                               -10.344513,
+		"HwRvXDd":                               -10.344513,
+		"HwekVMxhzErtHWK":                       -10.344513,
+		"HxEp":                                  -10.344513,
+		"HxtPZUAlk":                             -10.344513,
+		"I":                                     -7.779563,
+		"I/Gk":                                  -10.344513,
+		"I/KnVZfvMF":                            -10.344513,
+		"I/u":                                   -10.344513,
+		"I18n":                                  -9.245901,
+		"IACg":                                  -10.344513,
+		"IAF":                                   -10.344513,
+		"IAnGoU":                                -10.344513,
+		"IBRQ/":                                 -10.344513,
+		"ICxeva/qqN":                            -10.344513,
+		"ID=":                                   -7.209019,
+		"IDzBS":                                 -10.344513,
+		"IECgwKDAwYlc":                          -10.344513,
+		"IEEwWeBdK":                             -10.344513,
+		"IEJxJ":                                 -10.344513,
+		"IEnableLogger":                         -10.344513,
+		"IFAYamrzNvN":                           -10.344513,
+		"IFICItUkqgKiKiBSDCo":                   -10.344513,
+		"IFe":                                   -10.344513,
+		"IGbE":                                  -10.344513,
+		"IHd":                                   -10.344513,
+		"IHofe":                                 -10.344513,
+		"IJIDLNkg":                              -10.344513,
+		"IMPORTANT":                             -10.344513,
+		"IMTtCN":                                -10.344513,
+		"IMessageBus":                           -10.344513,
+		"IN":                                    -10.344513,
+		"INCLUDE":                               -8.265071,
+		"INTEGER":                               -9.245901,
+		"INotifyPropertyChanged":                -10.344513,
+		"INotifyPropertyChanged.":               -10.344513,
+		"IObservedChange":                       -8.735075,
+		"IPC":                                   -7.400074,
+		"IReactiveCollection":                   -9.245901,
+		"IReactiveNotifyPropertyChanged":        -8.552753,
+		"IReactiveNotifyPropertyChanged.":       -8.958218,
+		"ITALIC":                                -10.344513,
+		"ITCJA":                                 -10.344513,
+		"ITXSUxwr":                              -10.344513,
+		"IVDaj":                                 -10.344513,
+		"IVoIOZ":                                -10.344513,
+		"IXlhQKsuae":                            -10.344513,
+		"IYkXcRKiuBBgGvNUGfYF":                  -10.344513,
+		"IZC":                                   -10.344513,
+		"Ib":                                    -9.651366,
+		"IcLAu":                                 -10.344513,
+		"Icon":                                  -10.344513,
+		"Id":                                    -10.344513,
+		"Id=":                                   -8.958218,
+		"IdRePf":                                -10.344513,
+		"If":                                    -8.147288,
+		"IfDqAfK":                               -10.344513,
+		"IfW":                                   -10.344513,
+		"IhgrYnu":                               -10.344513,
+		"IiD":                                   -10.344513,
+		"IkakcGplXcRE":                          -10.344513,
+		"IlF":                                   -10.344513,
+		"IlFqxQzwX":                             -10.344513,
+		"IlJEoKoiiAksNiJSASimIlIACgwKDAoOB":     -10.344513,
+		"Illegal":                               -10.344513,
+		"Illus.":                                -10.344513,
+		"Immediate":                             -10.344513,
+		"In":                                    -8.041928,
+		"InUnitTestRunner":                      -10.344513,
+		"InapeW":                                -10.344513,
+		"Include=":                              -5.516199,
+		"Ingl":                                  -9.651366,
+		"Inglaterra":                            -9.651366,
+		"Initiative":                            -9.651366,
+		"InsOaWQhGZTI":                          -10.344513,
+		"Instability":                           -10.344513,
+		"Installed":                             -10.344513,
+		"Interface":                             -10.344513,
+		"Interview":                             -10.344513,
+		"Invalidate":                            -9.651366,
+		"IoENAaotyr":                            -10.344513,
+		"IoabR":                                 -10.344513,
+		"IpU":                                   -10.344513,
+		"Ipsum":                                 -8.265071,
+		"Iq":                                    -10.344513,
+		"IqFlo":                                 -10.344513,
+		"IqWLPcBapaiWiBEtVzTpjsVK":              -10.344513,
+		"Ireland":                               -9.651366,
+		"Irlanda":                               -9.651366,
+		"Irto":                                  -10.344513,
+		"IsAotleqCCDcUZ":                        -10.344513,
+		"IsCriticalRule=":                       -9.651366,
+		"IsDataSet":                             -10.344513,
+		"IslQELiLQiEAiFhKxYkTFiBURJ":            -10.344513,
+		"Issues":                                -10.344513,
+		"It":                                    -8.735075,
+		"Item":                                  -8.958218,
+		"ItemChanged":                           -9.651366,
+		"ItemChanging":                          -9.651366,
+		"ItemChanging/ItemChanged.":             -9.651366,
+		"ItemType=":                             -10.344513,
+		"ItlxjPpCIs":                            -10.344513,
+		"ItuenbbXPPueect":                       -10.344513,
+		"IuSNAAAAAElFTkSuQmCC":                  -10.344513,
+		"Iv":                                    -10.344513,
+		"IvDERYR/":                              -10.344513,
+		"IxD":                                   -10.344513,
+		"Ixuv":                                  -10.344513,
+		"Izs":                                   -10.344513,
+		"J":                                     -7.946618,
+		"J//RyOiWy":                             -10.344513,
+		"J/m":                                   -10.344513,
+		"JACKET":                                -10.344513,
+		"JAIwbIihZRkrAls":                       -10.344513,
+		"JCoc":                                  -10.344513,
+		"JENSEN":                                -10.344513,
+		"JEOCIKPXFQGVmbvzI":                     -10.344513,
+		"JF":                                    -10.344513,
+		"JFoH":                                  -10.344513,
+		"JJKC":                                  -10.344513,
+		"JK":                                    -10.344513,
+		"JKM":                                   -10.344513,
+		"JKoCLCsgkg":                            -10.344513,
+		"JLCnrXHAAAAAElFTkSuQmCC":               -10.344513,
+		"JLRoddOBLv":                            -10.344513,
+		"JMg":                                   -10.344513,
+		"JONES":                                 -10.344513,
+		"JP/K":                                  -10.344513,
+		"JRCXfeUiu":                             -10.344513,
+		"JRJZ":                                  -10.344513,
+		"JRvClN":                                -10.344513,
+		"JSAyfWh":                               -10.344513,
+		"JSNJ":                                  -10.344513,
+		"JUwGIlSd":                              -10.344513,
+		"JaRCbhCGhZwGVu":                        -10.344513,
+		"Jan.":                                  -10.344513,
+		"January":                               -10.344513,
+		"JbAN":                                  -10.344513,
+		"JbprAOCdh":                             -10.344513,
+		"Jbz":                                   -10.344513,
+		"JcAAAAASUVORK":                         -9.651366,
+		"JcTzCEGH":                              -10.344513,
+		"JcaICWWtgAc":                           -10.344513,
+		"JdODL/ilx":                             -10.344513,
+		"JdWu":                                  -10.344513,
+		"Je/XWD":                                -10.344513,
+		"JeRlbMZMf":                             -10.344513,
+		"JgwigMSJ":                              -10.344513,
+		"JifI":                                  -10.344513,
+		"JkwMZqhrS":                             -10.344513,
+		"JlYXSJTquTcHmRC/MZVRN":                 -10.344513,
+		"JlbodjYZ":                              -10.344513,
+		"JnMeH":                                 -10.344513,
+		"JnaxSV":                                -10.344513,
+		"JogLgCFNACM":                           -10.344513,
+		"JqHaU":                                 -10.344513,
+		"JqqKt/O":                               -10.344513,
+		"JuNSNhQiPNK":                           -10.344513,
+		"July":                                  -10.344513,
+		"June":                                  -10.344513,
+		"JustMyCode":                            -9.651366,
+		"Jz":                                    -9.245901,
+		"K":                                     -8.147288,
+		"K/ZgByVm":                              -10.344513,
+		"KAAAAAElFTkSuQmCC":                     -10.344513,
+		"KBeJqP":                                -10.344513,
+		"KDJ":                                   -10.344513,
+		"KDiltqllAdnwX":                         -10.344513,
+		"KEd":                                   -10.344513,
+		"KFYzZNkWMNA":                           -10.344513,
+		"KFhZI":                                 -10.344513,
+		"KFu":                                   -10.344513,
+		"KGgoAAAANSUhEUgAAACAAAAAQCAYAAAB":      -6.943315,
+		"KJGiFTO":                               -10.344513,
+		"KK":                                    -10.344513,
+		"KKnmhjvvi":                             -10.344513,
+		"KKsFOrFJZAZdovslLP":                    -10.344513,
+		"KN/B":                                  -10.344513,
+		"KNhtYlUhZKnueAeAC":                     -10.344513,
+		"KTAAAAABJRU":                           -10.344513,
+		"KWVRzJT/":                              -10.344513,
+		"KXJ":                                   -10.344513,
+		"KXQ":                                   -10.344513,
+		"KYA":                                   -10.344513,
+		"KYRNukSmslomP":                         -10.344513,
+		"KYeJM":                                 -10.344513,
+		"KcW":                                   -10.344513,
+		"KdT/kd/":                               -10.344513,
+		"KeepHistoric=":                         -10.344513,
+		"KeepXmlFiles=":                         -10.344513,
+		"KfGj":                                  -10.344513,
+		"KgYAZrHGw":                             -10.344513,
+		"Kind=":                                 -10.344513,
+		"Kingdom":                               -9.651366,
+		"Kiq":                                   -10.344513,
+		"Km/":                                   -10.344513,
+		"KnUfJ":                                 -10.344513,
+		"KpqydGpBAapTIF":                        -10.344513,
+		"KqxEIjHJvNufUpSfIGT":                   -10.344513,
+		"KriG":                                  -10.344513,
+		"Krsi":                                  -10.344513,
+		"KtV":                                   -10.344513,
+		"Ktk":                                   -10.344513,
+		"KtrKzEVlYikVgkshKLZMUmjBmBaAiChIomVJC": -10.344513,
+		"Ktyw":                                  -10.344513,
+		"Kw":                                    -10.344513,
+		"KwCMHNaVe":                             -10.344513,
+		"L":                                     -10.344513,
+		"LABEL":                                 -10.344513,
+		"LIST":                                  -9.245901,
+		"LMukLu":                                -10.344513,
+		"LSPEtO":                                -10.344513,
+		"LaTeX":                                 -10.344513,
+		"Label=":                                -7.636463,
+		"Language":                              -10.344513,
+		"Language=":                             -10.344513,
+		"LayoutMaster":                          -10.344513,
+		"LayoutMaster=":                         -10.344513,
+		"Left":                                  -10.344513,
+		"Level":                                 -8.041928,
+		"Library":                               -9.651366,
+		"LibraryElement":                        -10.344513,
+		"License":                               -9.651366,
+		"Linker":                                -8.958218,
+		"Listen":                                -8.958218,
+		"Lite":                                  -9.651366,
+		"LjjH":                                  -10.344513,
+		"Log":                                   -9.245901,
+		"Logs":                                  -10.344513,
+		"Lorem":                                 -8.147288,
+		"Low":                                   -10.344513,
+		"LwbsCqFOnMoaDvKgWEtF":                  -10.344513,
+		"M":                                     -8.147288,
+		"M/fmmY/aZwbXGzMlmtMdWBUWIm":            -10.344513,
+		"M/vRlIM":                               -10.344513,
+		"MAEKER":                                -10.344513,
+		"MAeQ":                                  -10.344513,
+		"MAx":                                   -10.344513,
+		"MD":                                    -10.344513,
+		"MDM":                                   -8.147288,
+		"MEIW":                                  -10.344513,
+		"METHODS":                               -10.344513,
+		"MFBn":                                  -10.344513,
+		"MHQwEKjKjqWgkgpqFSTgoCAAEs":            -10.344513,
+		"MK":                                    -10.344513,
+		"ML":                                    -10.344513,
+		"MLA":                                   -9.651366,
+		"MLHyAKwAAAAAElFTkSuQmCC":               -10.344513,
+		"MMgIsyk/DkSFgiswqYDgDPhFi":             -10.344513,
+		"MODIFIED=":                             -7.209019,
+		"MP":                                    -10.344513,
+		"MPF":                                   -10.344513,
+		"MPZB":                                  -10.344513,
+		"MQ":                                    -10.344513,
+		"MRU":                                   -10.344513,
+		"MS":                                    -10.344513,
+		"MSBuildExtensionsPath":                 -9.245901,
+		"MSBuildProjectDirectory":               -10.344513,
+		"MT":                                    -10.344513,
+		"MTpSUI":                                -10.344513,
+		"MYEbsRExSMLxf/VTaHLUU":                 -10.344513,
+		"MZPoU":                                 -10.344513,
+		"Made":                                  -10.344513,
+		"MainWindow":                            -9.651366,
+		"Make":                                  -9.651366,
+		"MakeObjectReactiveHelper.":             -10.344513,
+		"ManagedCProj":                          -10.344513,
+		"Management":                            -10.344513,
+		"Manual":                                -10.344513,
+		"Mar.":                                  -10.344513,
+		"Margin=":                               -10.344513,
+		"Martin":                                -9.651366,
+		"May":                                   -10.344513,
+		"Message":                               -6.977217,
+		"MessageBus":                            -9.245901,
+		"MessageBus.Current.":                   -10.344513,
+		"Methods":                               -10.344513,
+		"Metric":                                -10.344513,
+		"Metrics":                               -9.245901,
+		"MfSfR":                                 -10.344513,
+		"Mg":                                    -10.344513,
+		"MgPHnCdltM":                            -10.344513,
+		"Mh":                                    -10.344513,
+		"Michigan":                              -10.344513,
+		"Microsoft":                             -7.859606,
+		"Microsoft.CSharp":                      -10.344513,
+		"Microsoft.FSharp.Targets":              -9.651366,
+		"Microsoft.NET":                         -9.651366,
+		"Microsoft.Vsix.TemplatesPackage":       -10.344513,
+		"Microsoft.Vsix.TemplatesPackage.VsixWizard": -10.344513,
+		"Mj":                                -10.344513,
+		"MjI":                               -9.651366,
+		"MjKyNjKyMjI":                       -10.344513,
+		"Mobile":                            -10.344513,
+		"Model":                             -10.344513,
+		"Modern":                            -10.344513,
+		"MrIyMjYyaoSJEepSUWhEoc":            -10.344513,
+		"MtkLkscJA":                         -10.344513,
+		"MtplSJz":                           -10.344513,
+		"Mueller":                           -10.344513,
+		"MulN":                              -10.344513,
+		"MvAem":                             -10.344513,
+		"MvBeniWG/Ui":                       -10.344513,
+		"Mw":                                -10.344513,
+		"MxR":                               -10.344513,
+		"My":                                -9.651366,
+		"My.Resources":                      -10.344513,
+		"My.Web":                            -9.651366,
+		"MyApplicationCodeGenerator":        -10.344513,
+		"MyCommon":                          -10.344513,
+		"MyDef":                             -9.651366,
+		"MyqhWwJVIKqL":                      -10.344513,
+		"Mz":                                -10.344513,
+		"N":                                 -8.265071,
+		"N//pruzkdyik":                      -10.344513,
+		"NAME=":                             -7.166459,
+		"NAcdZIcna":                         -10.344513,
+		"NAw":                               -10.344513,
+		"NB":                                -10.344513,
+		"NCL3":                              -9.651366,
+		"NCL30EDTV":                         -10.344513,
+		"NCTY":                              -10.344513,
+		"NCV":                               -10.344513,
+		"NDEBUG":                            -10.344513,
+		"NDQ":                               -10.344513,
+		"NDXrKcjT/fcF":                      -10.344513,
+		"NDaysAgo=":                         -9.651366,
+		"NDw":                               -10.344513,
+		"NET":                               -10.344513,
+		"NEoWQQ":                            -10.344513,
+		"NJ":                                -10.344513,
+		"NJe":                               -10.344513,
+		"NKcDAx/":                           -10.344513,
+		"NMC":                               -10.344513,
+		"NONE":                              -9.651366,
+		"NOT":                               -10.344513,
+		"NOTE":                              -10.344513,
+		"NOW":                               -7.946618,
+		"NQuGEUAn":                          -10.344513,
+		"NQwMLCy":                           -10.344513,
+		"NUaV":                              -10.344513,
+		"NWFV/":                             -10.344513,
+		"NZAthyg/ppjL":                      -10.344513,
+		"NZDhNpDw":                          -10.344513,
+		"NZU":                               -10.344513,
+		"Name":                              -8.041928,
+		"Name=":                             -5.890166,
+		"Namespace":                         -9.651366,
+		"Namespaces=":                       -10.344513,
+		"Nascetur":                          -9.651366,
+		"NdoO":                              -10.344513,
+		"NeZMZ":                             -10.344513,
+		"Nemerle":                           -8.958218,
+		"Nemerle.Linq.dll":                  -10.344513,
+		"Nemerle.dll":                       -10.344513,
+		"NemerleBinPathRoot":                -9.651366,
+		"NemerleVersion":                    -10.344513,
+		"Net":                               -10.344513,
+		"New":                               -9.651366,
+		"New_Case_Created_Email_Alert":      -9.651366,
+		"NgIoOfAdGRyCxQEpX":                 -10.344513,
+		"NgeNzJkQSbDcWdT":                   -10.344513,
+		"NiHGmWPfleBxKpCWCxOHxN":            -10.344513,
+		"NizM":                              -10.344513,
+		"Nj":                                -10.344513,
+		"Nln":                               -10.344513,
+		"NlvuRbJ":                           -10.344513,
+		"NmJsdGokyH":                        -10.344513,
+		"NoZtBbcve":                         -10.344513,
+		"None":                              -10.344513,
+		"Normal":                            -10.344513,
+		"Norte":                             -9.651366,
+		"Northern":                          -9.651366,
+		"Northwestern":                      -10.344513,
+		"NotCcDuD":                          -10.344513,
+		"Note":                              -8.265071,
+		"Nov.":                              -10.344513,
+		"November":                          -10.344513,
+		"Now.ToString":                      -9.651366,
+		"Np":                                -10.344513,
+		"NuGet.VisualStudio.Interop":        -10.344513,
+		"NuGet.VisualStudio.TemplateWizard": -10.344513,
+		"NuHdKd":                            -10.344513,
+		"Nullam":                            -10.344513,
+		"NuqUcy":                            -10.344513,
+		"NvH":                               -10.344513,
+		"NvU":                               -10.344513,
+		"NxJEHFVf":                          -10.344513,
+		"NylER":                             -10.344513,
+		"NymBS/R":                           -10.344513,
+		"NzPE/POEHIopWbukFiQ/sztybgUHsM":    -10.344513,
+		"NzYPLAPSGAiRI":                     -10.344513,
+		"NzmSyXt":                           -10.344513,
+		"O":                                 -8.398603,
+		"O/":                                -10.344513,
+		"OAPH":                              -9.651366,
+		"OASIS/CALS":                        -10.344513,
+		"OAZGut":                            -10.344513,
+		"OBU":                               -10.344513,
+		"OCaml":                             -10.344513,
+		"ODEIFVy":                           -10.344513,
+		"ODFpGKJl":                          -10.344513,
+		"ODIMygeVCCcppBS":                   -10.344513,
+		"OEpZWg":                            -10.344513,
+		"OFFER":                             -10.344513,
+		"OHBlxVgCd":                         -10.344513,
+		"OHEILZyRvb":                        -10.344513,
+		"OI":                                -10.344513,
+		"OIpVAAAAAElFTkSuQmCC":              -10.344513,
+		"OIz":                               -10.344513,
+		"OKHw":                              -10.344513,
+		"OKOL":                              -10.344513,
+		"OLQGSIvDRArM":                      -10.344513,
+		"OM":                                -10.344513,
+		"OMfgSSLUIH":                        -10.344513,
+		"OMpBdDYVJCqbhJHHdmT":               -10.344513,
+		"ON":                                -10.344513,
+		"ONJNwjAbUd":                        -10.344513,
+		"OP":                                -9.651366,
+		"OPMqWngzO":                         -10.344513,
+		"OQBzW":                             -10.344513,
+		"OQizxvEvpxI":                       -10.344513,
+		"OR":                                -10.344513,
+		"ORDER":                             -10.344513,
+		"OTLF":                              -10.344513,
+		"OUR":                               -10.344513,
+		"OVER":                              -10.344513,
+		"OVR_Device_getPitch.gml":           -10.344513,
+		"OVR_Device_getRoll.gml":            -10.344513,
+		"OVR_Device_getYaw.gml":             -10.344513,
+		"OVR_Device_init.gml":               -10.344513,
+		"OVR_Init.gml":                      -10.344513,
+		"OVR_beginFrame.gml":                -10.344513,
+		"OVR_endFrame.gml":                  -10.344513,
+		"OVR_getEyePos.gml":                 -10.344513,
+		"OVR_getHMDName.gml":                -10.344513,
+		"OVR_getVersion.gml":                -10.344513,
+		"OVR_linkWindowHandle.gml":          -10.344513,
+		"OW":                                -10.344513,
+		"OYmbdKJe":                          -10.344513,
+		"OYob":                              -10.344513,
+		"OZQUe":                             -10.344513,
+		"Oazv":                              -10.344513,
+		"Ob":                                -10.344513,
+		"Ob/V":                              -10.344513,
+		"ObmQpJyEgGKoMqITlXAXssbfYCEQeysztkWMoPcXTniLCxUqz": -10.344513,
+		"Observable":                             -6.319161,
+		"Observable.":                            -8.552753,
+		"Observable.Return":                      -10.344513,
+		"ObservableAsPropertyHelper":             -8.552753,
+		"ObservableAsyncMRUCache":                -9.651366,
+		"ObservableAsyncMRUCache.":               -10.344513,
+		"ObservableAsyncMRUCache.AsyncGet":       -10.344513,
+		"ObservableForProperty":                  -7.705456,
+		"ObservableForProperty.":                 -10.344513,
+		"ObservableToProperty":                   -10.344513,
+		"Observables":                            -8.958218,
+		"Observables.":                           -9.651366,
+		"Oc":                                     -10.344513,
+		"Oct.":                                   -10.344513,
+		"Off":                                    -10.344513,
+		"OfwC":                                   -10.344513,
+		"OhI":                                    -10.344513,
+		"OmPy":                                   -10.344513,
+		"On":                                     -9.651366,
+		"On/JFp":                                 -10.344513,
+		"OnLpNCe":                                -10.344513,
+		"OpenInEditor=":                          -10.344513,
+		"Opff":                                   -10.344513,
+		"OpwVXsQyPycPO":                          -10.344513,
+		"Order":                                  -10.344513,
+		"Ordinal":                                -8.552753,
+		"Organization=":                          -10.344513,
+		"Other":                                  -8.735075,
+		"Ou":                                     -10.344513,
+		"Oue":                                    -10.344513,
+		"OutputPath":                             -10.344513,
+		"Owner":                                  -10.344513,
+		"Oxford":                                 -9.651366,
+		"OxoM":                                   -10.344513,
+		"OyCbdSRlmclBg":                          -10.344513,
+		"OzFhiOIpLAC":                            -10.344513,
+		"P":                                      -6.583313,
+		"PAYMENT":                                -10.344513,
+		"PBSyB":                                  -10.344513,
+		"PBnfLX":                                 -10.344513,
+		"PCDATA":                                 -10.344513,
+		"PCTkPxwUHFUf":                           -10.344513,
+		"PDZD":                                   -10.344513,
+		"PHA":                                    -10.344513,
+		"PI":                                     -10.344513,
+		"PJKO":                                   -10.344513,
+		"PK":                                     -10.344513,
+		"PLRHlGMznChKIcwiFTrlnTqxYkLbDG":         -10.344513,
+		"POIOHEBZ":                               -10.344513,
+		"POLO":                                   -10.344513,
+		"POQ":                                    -10.344513,
+		"POSITION=":                              -8.958218,
+		"PP":                                     -10.344513,
+		"PQ":                                     -10.344513,
+		"PQJbhwAB":                               -10.344513,
+		"PRIORITY_HIGH":                          -10.344513,
+		"PRIORITY_LOW":                           -10.344513,
+		"PRIORITY_MASK":                          -9.245901,
+		"PRIORITY_NORMAL":                        -10.344513,
+		"PROMO":                                  -10.344513,
+		"PRduvdsPiY":                             -10.344513,
+		"PS":                                     -10.344513,
+		"PToi":                                   -10.344513,
+		"PUMPING_MSGS_BIT":                       -9.651366,
+		"PUUhiJf":                                -10.344513,
+		"PUwti":                                  -10.344513,
+		"PVNc":                                   -10.344513,
+		"PW":                                     -10.344513,
+		"PXJsisYwgAJAVOAFv":                      -10.344513,
+		"PYwXYJI":                                -10.344513,
+		"Package":                                -9.245901,
+		"Package.ico":                            -10.344513,
+		"Page":                                   -10.344513,
+		"Pal":                                    -10.344513,
+		"Path=":                                  -10.344513,
+		"Patient":                                -10.344513,
+		"PbJUwiwr/AiHdeYi":                       -10.344513,
+		"PcC":                                    -10.344513,
+		"Pd":                                     -10.344513,
+		"Pdx":                                    -10.344513,
+		"Pg":                                     -10.344513,
+		"Phasellus":                              -8.735075,
+		"Phone":                                  -9.245901,
+		"PibMlUYsAJWE":                           -10.344513,
+		"PiuJI":                                  -10.344513,
+		"PjkzzFc":                                -10.344513,
+		"Pk/MdlVxTKwEe":                          -10.344513,
+		"Pk/f":                                   -10.344513,
+		"Pl":                                     -10.344513,
+		"PlRh":                                   -10.344513,
+		"PlaceholderText=":                       -10.344513,
+		"Platform":                               -7.400074,
+		"Platform=":                              -10.344513,
+		"PmV/TyS":                                -10.344513,
+		"Pn":                                     -10.344513,
+		"Pool":                                   -10.344513,
+		"Portugu":                                -9.651366,
+		"Portuguese":                             -9.651366,
+		"PqbvZKpRvueoCGpQFvj":                    -10.344513,
+		"Prefer":                                 -9.651366,
+		"Prefix":                                 -10.344513,
+		"Prefix=":                                -10.344513,
+		"PreprocessorDefinitions":                -9.651366,
+		"Privacy":                                -10.344513,
+		"PrmWtxRZ":                               -10.344513,
+		"PrmrLStEw":                              -10.344513,
+		"ProgramFiles":                           -10.344513,
+		"Project":                                -8.265071,
+		"Project=":                               -6.848005,
+		"ProjectFileToCompareWith=":              -9.651366,
+		"ProjectMode=":                           -9.651366,
+		"ProjectName":                            -10.344513,
+		"ProjectRoot":                            -7.946618,
+		"Projects=":                              -10.344513,
+		"Properties":                             -9.245901,
+		"Properties=":                            -10.344513,
+		"PropertyChangedEventArgs.":              -10.344513,
+		"PropertyGroup":                          -10.344513,
+		"Provides":                               -8.958218,
+		"PsVyErkvVwe":                            -10.344513,
+		"PtRFLZ/m":                               -10.344513,
+		"PtUBpnwDAT":                             -10.344513,
+		"PublicKeyToken":                         -8.958218,
+		"PublicKeyToken=":                        -9.245901,
+		"Publisher=":                             -10.344513,
+		"Pw":                                     -10.344513,
+		"PwTrQA":                                 -10.344513,
+		"PxqETx":                                 -10.344513,
+		"PxwTkBLD":                               -10.344513,
+		"Pytlik":                                 -10.344513,
+		"Pz":                                     -10.344513,
+		"Q":                                      -8.147288,
+		"QB":                                     -10.344513,
+		"QBhBRDtAHYCkHyAIATRZdO":                 -10.344513,
+		"QCUuWaYeS":                              -10.344513,
+		"QESF":                                   -10.344513,
+		"QG":                                     -10.344513,
+		"QGKvZwEPxCqMBPcvUoQ":                    -10.344513,
+		"QK":                                     -10.344513,
+		"QKCxJClrzD":                             -10.344513,
+		"QKEAIOEJSdru":                           -10.344513,
+		"QN":                                     -10.344513,
+		"QNNZCCfG":                               -10.344513,
+		"QPQMRgGHJOv":                            -10.344513,
+		"QRJJ":                                   -10.344513,
+		"QSW":                                    -10.344513,
+		"QUpIiB/WLQilRZXfgXuVziro":               -10.344513,
+		"QUwZ":                                   -10.344513,
+		"QVAnTTy":                                -10.344513,
+		"QWKx":                                   -10.344513,
+		"QWc":                                    -10.344513,
+		"QYGAd":                                  -10.344513,
+		"QYi":                                    -10.344513,
+		"Qa":                                     -10.344513,
+		"QgJylT":                                 -10.344513,
+		"QgQTRGUgk":                              -10.344513,
+		"QgVGT":                                  -10.344513,
+		"QgnopIehCtugaxe":                        -10.344513,
+		"QgsKjgLS":                               -10.344513,
+		"Qi":                                     -10.344513,
+		"Qiva":                                   -10.344513,
+		"QjWyZzfm":                               -10.344513,
+		"QjhTvExFIHoWTIFnhEUnMvxmMIqT":           -10.344513,
+		"Qkge/bJpVK":                             -10.344513,
+		"QldVvHmkBOGkbGzQrA":                     -10.344513,
+		"QmR/":                                   -10.344513,
+		"QnA":                                    -10.344513,
+		"QnEkPqKxFdN/":                           -10.344513,
+		"QoAdQcZoy":                              -10.344513,
+		"Qogdvj":                                 -10.344513,
+		"Qq":                                     -9.651366,
+		"QqRd":                                   -10.344513,
+		"QrWtPhHMADMHf":                          -10.344513,
+		"Qth":                                    -10.344513,
+		"Quality":                                -10.344513,
+		"Queen":                                  -9.651366,
+		"Query=":                                 -10.344513,
+		"Quisque":                                -8.398603,
+		"Qy":                                     -10.344513,
+		"QzFvE":                                  -10.344513,
+		"R":                                      -8.265071,
+		"R/CGHyk":                                -10.344513,
+		"RDCG":                                   -10.344513,
+		"RDfebgWJuWQw":                           -10.344513,
+		"REPLY_BIT":                              -9.651366,
+		"REPLY_ERROR_BIT":                        -9.651366,
+		"RETu":                                   -10.344513,
+		"REWqhvtok":                              -10.344513,
+		"RGOQ":                                   -10.344513,
+		"RK/chkiq":                               -10.344513,
+		"RKala":                                  -10.344513,
+		"RLlDdJGz":                               -10.344513,
+		"RMI":                                    -10.344513,
+		"ROJq":                                   -10.344513,
+		"RPB":                                    -10.344513,
+		"RQVTpIJWChgoKCgYGBgYGNh":                -10.344513,
+		"RQreCCNHw":                              -10.344513,
+		"RRQrgix/":                               -10.344513,
+		"RRuQAqOmDzkCSjdKy":                      -10.344513,
+		"RSkPQMghld":                             -10.344513,
+		"RWPln":                                  -10.344513,
+		"Rahtz":                                  -10.344513,
+		"Rainha":                                 -9.651366,
+		"RaiseAndSetIfChanged":                   -9.651366,
+		"RaisePropertyChanged":                   -9.651366,
+		"RaisePropertyChanging":                  -9.651366,
+		"Re":                                     -10.344513,
+		"ReactiveCollection":                     -10.344513,
+		"ReactiveCollection.":                    -10.344513,
+		"ReactiveObject":                         -7.946618,
+		"ReactiveObject.":                        -10.344513,
+		"ReactiveUI":                             -9.651366,
+		"Real":                                   -10.344513,
+		"RealEstate":                             -10.344513,
+		"Rec":                                    -9.651366,
+		"Redistribution":                         -10.344513,
+		"Redistributions":                        -9.651366,
+		"RefCount":                               -10.344513,
+		"RefCountedBase*":                        -9.651366,
+		"Reference":                              -10.344513,
+		"RegisterMessageSource":                  -8.958218,
+		"Registers":                              -9.245901,
+		"Reino":                                  -9.651366,
+		"RelativeNode":                           -10.344513,
+		"RelativeNode=":                          -10.344513,
+		"RelativeTo":                             -8.958218,
+		"RelativeTo=":                            -8.735075,
+		"Release":                                -7.571924,
+		"ReplaceParameters=":                     -8.958218,
+		"Represents":                             -8.958218,
+		"ResX":                                   -10.344513,
+		"ResXResourceReader":                     -10.344513,
+		"ResXResourceWriter":                     -10.344513,
+		"Resource":                               -9.651366,
+		"ResourceType":                           -10.344513,
+		"Resources":                              -9.245901,
+		"Resources.Designer.vb":                  -10.344513,
+		"Resources.resx":                         -10.344513,
+		"Return":                                 -10.344513,
+		"Returns":                                -8.735075,
+		"Rev":                                    -10.344513,
+		"RfOuPi":                                 -10.344513,
+		"RgEGCpAZEcUCkFAQYFhgYHDI":               -10.344513,
+		"Rhd":                                    -10.344513,
+		"RhhAiPhCQB":                             -10.344513,
+		"RiftSharpDll.dll":                       -10.344513,
+		"RjQmcGdtCAcrLAsxjYTZ":                   -10.344513,
+		"RkPgPqaJtCHtPDCryTjYjqnzSxkUFqOn":       -10.344513,
+		"RkR":                                    -10.344513,
+		"RmwqJjUkQzi":                            -10.344513,
+		"RoDyM":                                  -10.344513,
+		"Roboto":                                 -10.344513,
+		"Routing":                                -10.344513,
+		"Rows=":                                  -10.344513,
+		"Rpt":                                    -10.344513,
+		"RqRi/fM":                                -10.344513,
+		"RqUJKlgGVUMM/KtnWYh":                    -10.344513,
+		"RqrQUFqFD/tvZeWQU":                      -10.344513,
+		"RrJA":                                   -10.344513,
+		"RtXeU/":                                 -10.344513,
+		"Ru":                                     -9.651366,
+		"Rudmnx":                                 -10.344513,
+		"RufAnnobTnbWGdOWvuPWtvrrg":              -10.344513,
+		"Rules":                                  -10.344513,
+		"Rw":                                     -10.344513,
+		"RwWfNO":                                 -10.344513,
+		"Rx.Net.":                                -10.344513,
+		"RxApp":                                  -10.344513,
+		"RxApp.DeferredScheduler":                -9.651366,
+		"RxApp.GetFieldNameForPropertyNameFunc.": -9.651366,
+		"S":                                      -8.265071,
+		"S/dQG/dg":                               -10.344513,
+		"SBHCNQrrQTYPq/Vdk":                      -10.344513,
+		"SBmsxPjtkign":                           -10.344513,
+		"SBuso":                                  -10.344513,
+		"SBwkJwndUxxXZkNwnpAhSp":                 -10.344513,
+		"SDKs":                                   -9.651366,
+		"SDjypBvG":                               -10.344513,
+		"SECTION_1002":                           -10.344513,
+		"SGxyYP":                                 -10.344513,
+		"SHIPPING":                               -9.651366,
+		"SHIRT":                                  -10.344513,
+		"SHOES":                                  -10.344513,
+		"SHOP":                                   -10.344513,
+		"SHORTS":                                 -10.344513,
+		"SHORT_PERMALINK":                        -9.245901,
+		"SIEIwfBxUn":                             -10.344513,
+		"SIZE=":                                  -7.209019,
+		"SIZkpCZxRxbxVdDd":                       -10.344513,
+		"SJf":                                    -10.344513,
+		"SKETCH":                                 -10.344513,
+		"SL":                                     -10.344513,
+		"SLEzaxjYSe":                             -10.344513,
+		"SM":                                     -10.344513,
+		"SMFYj":                                  -10.344513,
+		"SNYLivgNkYgAsHArkkwBpHBT":               -10.344513,
+		"SO":                                     -10.344513,
+		"SOTBV":                                  -10.344513,
+		"SPIFK":                                  -10.344513,
+		"SPRING":                                 -10.344513,
+		"SQRL":                                   -10.344513,
+		"SSCd":                                   -10.344513,
+		"STRING":                                 -9.245901,
+		"STYLE=":                                 -7.571924,
+		"SUMMER":                                 -10.344513,
+		"SUZGw":                                  -10.344513,
+		"SWEATER":                                -10.344513,
+		"SXmMY":                                  -10.344513,
+		"SYAAAAASUVORK":                          -10.344513,
+		"SYNC_BIT":                               -9.651366,
+		"SYSTEM":                                 -7.454141,
+		"SZdfWA":                                 -10.344513,
+		"SaixVQjzQCkmQMsdOY":                     -10.344513,
+		"Sample":                                 -9.651366,
+		"Say":                                    -10.344513,
+		"SbCeuPmne/oRDlC":                        -10.344513,
+		"Schema":                                 -10.344513,
+		"Schematron":                             -10.344513,
+		"Scotland":                               -9.651366,
+		"Sebastian":                              -10.344513,
+		"SectionsEnabled=":                       -10.344513,
+		"Select":                                 -9.245901,
+		"SelectMany":                             -9.651366,
+		"SelectMany.":                            -10.344513,
+		"Selector":                               -10.344513,
+		"Semperin":                               -10.344513,
+		"SendMessage.":                           -9.651366,
+		"Sender.":                                -10.344513,
+		"Sends":                                  -9.651366,
+		"Sept.":                                  -10.344513,
+		"Server.":                                -10.344513,
+		"Set":                                    -9.245901,
+		"SetValueToProperty":                     -10.344513,
+		"Setter":                                 -9.651366,
+		"Setters":                                -10.344513,
+		"Settings":                               -9.651366,
+		"Settings.Designer.vb":                   -10.344513,
+		"Settings.settings":                      -10.344513,
+		"SettingsSingleFileGenerator":            -10.344513,
+		"Setup.Bypass__c.Workflow_Rules__c":      -10.344513,
+		"Sf":                                     -10.344513,
+		"ShareAlike":                             -9.651366,
+		"ShdpNJvx":                               -10.344513,
+		"ShownInReport=":                         -10.344513,
+		"Silverlight":                            -8.735075,
+		"Simple":                                 -7.859606,
+		"Simple.":                                -10.344513,
+		"Since":                                  -10.344513,
+		"SjJIz":                                  -10.344513,
+		"SjNhcF":                                 -10.344513,
+		"Slztu":                                  -10.344513,
+		"SmhEajokBBDFpIqgtKzUa":                  -10.344513,
+		"SnMo":                                   -10.344513,
+		"SolutionRoot":                           -9.651366,
+		"Some":                                   -10.344513,
+		"Source":                                 -8.552753,
+		"Source=":                                -8.552753,
+		"SourceFiles=":                           -9.245901,
+		"Specifying":                             -9.651366,
+		"SqdznMxWvigVE":                          -10.344513,
+		"SrRYx":                                  -10.344513,
+		"SrbEczr":                                -10.344513,
+		"Src":                                    -10.344513,
+		"SsdeZ/DNic":                             -10.344513,
+		"St":                                     -10.344513,
+		"Standard=":                              -10.344513,
+		"Studio":                                 -8.735075,
+		"Style":                                  -10.344513,
+		"SubType=":                               -10.344513,
+		"Summary":                                -10.344513,
+		"SutsnJtPHX/ShhxPN":                      -10.344513,
+		"Sweater":                                -10.344513,
+		"SwonQdMVhCejkTF":                        -10.344513,
+		"SyRaTAnB":                               -10.344513,
+		"System":                                 -9.245901,
+		"System.ComponentModel.TypeConverter":    -10.344513,
+		"System.Core":                            -10.344513,
+		"System.Data":                            -10.344513,
+		"System.DateTime":                        -9.651366,
+		"System.Design":                          -10.344513,
+		"System.Drawing":                         -10.344513,
+		"System.Resources.ResXResourceReader":    -9.651366,
+		"System.Resources.ResXResourceWriter":    -9.651366,
+		"System.Runtime.Serialization.Formatters.Soap.SoapFormatter": -10.344513,
+		"System.Serialization.Formatters.Binary.BinaryFormatter":     -10.344513,
+		"System.Windows.Forms":               -8.735075,
+		"System.Xml":                         -10.344513,
+		"T":                                  -7.859606,
+		"T/WnU":                              -10.344513,
+		"TANK":                               -10.344513,
+		"TASK":                               -10.344513,
+		"TBRb":                               -10.344513,
+		"TBxVBHdOqJJM":                       -10.344513,
+		"TBzSYxyF":                           -10.344513,
+		"TC":                                 -10.344513,
+		"TCP":                                -9.651366,
+		"TEI":                                -6.878777,
+		"TEXT=":                              -7.209019,
+		"TF":                                 -10.344513,
+		"TFJjORUJEZndI":                      -10.344513,
+		"TGOjU":                              -10.344513,
+		"TIUgaS":                             -10.344513,
+		"TJeTK":                              -10.344513,
+		"TKCYX":                              -10.344513,
+		"TL":                                 -10.344513,
+		"TNqJl/tMG":                          -10.344513,
+		"TOGYkha":                            -10.344513,
+		"TOPAZ":                              -10.344513,
+		"TOemd":                              -10.344513,
+		"TP":                                 -10.344513,
+		"TPL":                                -10.344513,
+		"TPvpCL":                             -10.344513,
+		"TQOEJyP":                            -10.344513,
+		"TQPJnDQTpnv":                        -10.344513,
+		"TQfgF":                              -10.344513,
+		"TR":                                 -10.344513,
+		"TRACE":                              -8.398603,
+		"TREBLIoJLT":                         -10.344513,
+		"TRzSfE":                             -10.344513,
+		"TS":                                 -9.245901,
+		"TSdWCgibYA":                         -10.344513,
+		"TSender":                            -10.344513,
+		"TTbNpibpyNT/N":                      -10.344513,
+		"TWO":                                -10.344513,
+		"TX":                                 -10.344513,
+		"TYWuVrjA/UAfx":                      -10.344513,
+		"TZjcj":                              -10.344513,
+		"Tag":                                -10.344513,
+		"TaggJD/xuvM":                        -10.344513,
+		"Target":                             -8.735075,
+		"Target=":                            -8.958218,
+		"TargetFileName=":                    -8.958218,
+		"Targets":                            -10.344513,
+		"Targets=":                           -8.398603,
+		"Task":                               -10.344513,
+		"TaskName=":                          -9.651366,
+		"TaskpoolScheduler":                  -9.651366,
+		"Tb/tTknO":                           -10.344513,
+		"TckrBE":                             -10.344513,
+		"TeX":                                -10.344513,
+		"Test":                               -9.651366,
+		"Text":                               -9.651366,
+		"Text=":                              -8.398603,
+		"TextColor=":                         -10.344513,
+		"Tf":                                 -10.344513,
+		"TfAYhtJtgqMAHewWqhqrwJXu":           -10.344513,
+		"TgQO":                               -10.344513,
+		"TgYrohX":                            -10.344513,
+		"ThENTdax":                           -10.344513,
+		"The":                                -5.780165,
+		"Theme=":                             -9.651366,
+		"There":                              -10.344513,
+		"This":                               -6.910526,
+		"This.GetValue":                      -10.344513,
+		"ThlApvdRyYBal":                      -10.344513,
+		"Threadpool":                         -10.344513,
+		"Time":                               -8.735075,
+		"Timer.":                             -9.651366,
+		"Tite":                               -10.344513,
+		"TjxN":                               -10.344513,
+		"Tk":                                 -10.344513,
+		"TlGeEqTfgbhhMEeXXwoEVa":             -10.344513,
+		"TmR":                                -10.344513,
+		"Tn":                                 -10.344513,
+		"To":                                 -8.147288,
+		"ToPath=":                            -10.344513,
+		"ToProperty":                         -9.651366,
+		"ToZBI":                              -10.344513,
+		"Tools":                              -9.651366,
+		"ToolsVersion=":                      -7.779563,
+		"TpVluyCUtcah":                       -10.344513,
+		"TqU":                                -10.344513,
+		"TrQ":                                -10.344513,
+		"Tracking":                           -9.651366,
+		"Trans":                              -10.344513,
+		"Transcription":                      -10.344513,
+		"Transform":                          -9.245901,
+		"TransformOrigin=":                   -10.344513,
+		"Treemap":                            -10.344513,
+		"TrtDMlIheowMUF":                     -10.344513,
+		"True":                               -7.571924,
+		"True.":                              -9.651366,
+		"Tt":                                 -9.245901,
+		"TtQtKq/WtlUnie":                     -10.344513,
+		"TtUYACIBHFcywHrGFsqssaY":            -10.344513,
+		"Tu":                                 -10.344513,
+		"TuJdIIYAklsK":                       -10.344513,
+		"Tukg":                               -10.344513,
+		"TuuKQaxHU":                          -10.344513,
+		"TwIyvtAAAAAElFTkSuQmCC":             -10.344513,
+		"TxlEWM":                             -10.344513,
+		"Ty":                                 -10.344513,
+		"Type":                               -7.946618,
+		"Type.":                              -9.651366,
+		"Type=":                              -8.552753,
+		"TypeConverter":                      -9.651366,
+		"Types":                              -9.651366,
+		"U":                                  -9.651366,
+		"UAP":                                -10.344513,
+		"UDyeCdp":                            -10.344513,
+		"UFnZ":                               -10.344513,
+		"UI":                                 -9.651366,
+		"UMlFKRaAVhUwUOvFgExMVT":             -10.344513,
+		"UNBLOCK_BIT":                        -9.651366,
+		"UQfDVVlDtcBOo":                      -10.344513,
+		"URI":                                -9.651366,
+		"URL":                                -9.651366,
+		"US":                                 -10.344513,
+		"UVdKcK":                             -10.344513,
+		"Uk":                                 -10.344513,
+		"UmV":                                -10.344513,
+		"UncoverableAttribute=":              -10.344513,
+		"Unicode":                            -9.651366,
+		"Unido":                              -9.651366,
+		"Unit":                               -10.344513,
+		"United":                             -9.651366,
+		"Unported":                           -10.344513,
+		"Unrecognized":                       -9.651366,
+		"Unsubscribe":                        -10.344513,
+		"UoVxGUMYaJAZaaofzvgvAG":             -10.344513,
+		"Uri=":                               -10.344513,
+		"Use":                                -7.636463,
+		"Using":                              -10.344513,
+		"Uvqvv":                              -10.344513,
+		"UzLqFMzGDa":                         -10.344513,
+		"V":                                  -8.552753,
+		"VBC":                                -10.344513,
+		"VClBDk":                             -10.344513,
+		"VCpKmOmTnYk":                        -10.344513,
+		"VCtPmbNY":                           -10.344513,
+		"VD":                                 -10.344513,
+		"VERDANT":                            -10.344513,
+		"VEoibbPUMWapEXQvG":                  -10.344513,
+		"VFunpfeTl":                          -10.344513,
+		"VGSM":                               -10.344513,
+		"VGwjqbKMKkLugBXotSictylIXQHEu":      -10.344513,
+		"VIY/iYBTkZ":                         -10.344513,
+		"VIg":                                -10.344513,
+		"VJVr":                               -10.344513,
+		"VKwoyMBvjVAYDzetFCrglkU":            -10.344513,
+		"VLOSco":                             -10.344513,
+		"VLvPb":                              -10.344513,
+		"VMkD":                               -10.344513,
+		"VRpuX":                              -10.344513,
+		"VSIX":                               -10.344513,
+		"VSPackage.cs":                       -10.344513,
+		"VSPackage.ico":                      -10.344513,
+		"VSPackage.resx":                     -10.344513,
+		"VV":                                 -10.344513,
+		"VVP":                                -10.344513,
+		"VVaIe":                              -10.344513,
+		"VWBM":                               -10.344513,
+		"VWV":                                -10.344513,
+		"VZSEKfNIEnpAxyuW":                   -10.344513,
+		"Value":                              -9.245901,
+		"Value=":                             -8.041928,
+		"ValueIfNotDefault":                  -10.344513,
+		"VbMyResourcesResXFileCodeGenerator": -10.344513,
+		"VcfFcWRVVSMbQfv":                    -10.344513,
+		"VcnJOx":                             -10.344513,
+		"Version":                            -8.398603,
+		"Version.Major":                      -10.344513,
+		"Version=":                           -8.041928,
+		"VersionNumberMajor":                 -10.344513,
+		"VersionNumberMinor":                 -10.344513,
+		"VerticalText":                       -10.344513,
+		"Vg":                                 -10.344513,
+		"VgYzoAAAAASUVORK":                   -10.344513,
+		"VhAv":                               -10.344513,
+		"View":                               -10.344513,
+		"ViewModel":                          -8.265071,
+		"ViewModels":                         -9.245901,
+		"Villa":                              -10.344513,
+		"Violated":                           -10.344513,
+		"Visual":                             -8.958218,
+		"VisualStudio":                       -9.245901,
+		"VisualStudioVersion":                -9.651366,
+		"VkX":                                -10.344513,
+		"Vkbqeng":                            -10.344513,
+		"VoVk":                               -10.344513,
+		"Vols":                               -10.344513,
+		"Vq//XHvG":                           -10.344513,
+		"VsPkg.cs":                           -10.344513,
+		"VsixVSPackageCSharp":                -10.344513,
+		"Vsyclt":                             -10.344513,
+		"Vt":                                 -10.344513,
+		"VvJtwd":                             -10.344513,
+		"VwaXa":                              -10.344513,
+		"VxPG":                               -10.344513,
+		"VzUzUXlgzyPYvFWriKVbgWsERdevxXqAI/COVELiam": -10.344513,
+		"VzWHGSqO":                              -10.344513,
+		"VzqyoucsdIP":                           -10.344513,
+		"W":                                     -7.946618,
+		"W/DzcYBXyyAAAAAElFTkSuQmCC":            -10.344513,
+		"WABmSHSTqSqlwoENUgGZ":                  -10.344513,
+		"WBH":                                   -10.344513,
+		"WBWyaW":                                -10.344513,
+		"WE":                                    -10.344513,
+		"WFhYGBoYGBg":                           -10.344513,
+		"WGf":                                   -10.344513,
+		"WHURsGDNJSTHu":                         -10.344513,
+		"WIDTH=":                                -7.571924,
+		"WIN":                                   -9.651366,
+		"WIVQcXLFGU":                            -10.344513,
+		"WKTbPUoQv":                             -10.344513,
+		"WL/qL":                                 -10.344513,
+		"WLKmZ":                                 -10.344513,
+		"WNmsl":                                 -10.344513,
+		"WODyzjKOBjFdESBxIqEzqayMaESoEXxX":      -10.344513,
+		"WP":                                    -10.344513,
+		"WPF":                                   -10.344513,
+		"WPfCSjqK":                              -10.344513,
+		"WQlFgnuzSAQhCCagGgCgqQIklYgKhAVExUTFc": -10.344513,
+		"WQqS":                                  -10.344513,
+		"WUkFkPrSljAMvS":                        -10.344513,
+		"WUtULud/OpHtcyb":                       -10.344513,
+		"WVigKWGIkrLZJgU":                       -10.344513,
+		"WVm":                                   -10.344513,
+		"WVwNnnFsrkc":                           -10.344513,
+		"Wales":                                 -9.651366,
+		"WdoiV/TjBHmPEE":                        -10.344513,
+		"We":                                    -9.245901,
+		"Web":                                   -10.344513,
+		"Web.config":                            -10.344513,
+		"WebRequest":                            -10.344513,
+		"When":                                  -8.398603,
+		"WhenAny":                               -7.859606,
+		"WiX":                                   -10.344513,
+		"Width=":                                -7.511299,
+		"Win":                                   -9.651366,
+		"Window":                                -10.344513,
+		"Windows":                               -8.735075,
+		"With":                                  -10.344513,
+		"Wix.targets":                           -10.344513,
+		"WixProject":                            -10.344513,
+		"WixTargetsPath":                        -10.344513,
+		"Wjgyc":                                 -10.344513,
+		"WkHeCbXfDV":                            -10.344513,
+		"WkbmpjCK":                              -10.344513,
+		"Wl/yULCD/b":                            -10.344513,
+		"WlB":                                   -10.344513,
+		"Wm":                                    -10.344513,
+		"Wo":                                    -10.344513,
+		"WorkingDirectory=":                     -10.344513,
+		"Works":                                 -9.651366,
+		"Wp":                                    -10.344513,
+		"WqB":                                   -10.344513,
+		"WtfZz":                                 -10.344513,
+		"Wu":                                    -10.344513,
+		"WunpQzOkL":                             -10.344513,
+		"WvQRc":                                 -10.344513,
+		"WvfJ":                                  -10.344513,
+		"WwrmR":                                 -10.344513,
+		"WyvO":                                  -10.344513,
+		"X":                                     -8.552753,
+		"X/Cb":                                  -10.344513,
+		"X/SAJyeSaxOPY":                         -10.344513,
+		"X/hvO":                                 -10.344513,
+		"X=":                                    -10.344513,
+		"XEBo":                                  -10.344513,
+		"XEUmVQgoxCe":                           -10.344513,
+		"XF":                                    -10.344513,
+		"XFzFVBVAjcW":                           -10.344513,
+		"XHTML":                                 -8.398603,
+		"XI":                                    -10.344513,
+		"XJPGUG":                                -10.344513,
+		"XMA/":                                  -10.344513,
+		"XML":                                   -8.958218,
+		"XMLSchema":                             -8.552753,
+		"XN":                                    -10.344513,
+		"XO":                                    -10.344513,
+		"XPPOffm":                               -10.344513,
+		"XPe":                                   -10.344513,
+		"XQJZCbuXp/":                            -10.344513,
+		"XQZMm":                                 -10.344513,
+		"XRAuP":                                 -10.344513,
+		"XRrxHT":                                -10.344513,
+		"XS":                                    -10.344513,
+		"XSL":                                   -8.958218,
+		"XUDYaMagwfWXhCilMsZ":                   -10.344513,
+		"XUSbY":                                 -10.344513,
+		"XUYjEmebBXSeTYePjIdVj":                 -10.344513,
+		"XUg":                                   -10.344513,
+		"XV/Kn":                                 -10.344513,
+		"XVzdQ":                                 -10.344513,
+		"XWVlZW":                                -10.344513,
+		"XX":                                    -10.344513,
+		"XbJ/rU/pX":                             -10.344513,
+		"Xc":                                    -10.344513,
+		"XdUtg":                                 -10.344513,
+		"XgNc":                                  -10.344513,
+		"XhyfIWbCOQCHEM":                        -10.344513,
+		"Xj":                                    -10.344513,
+		"XjrDXRGWjIBO":                          -10.344513,
+		"Xkkp":                                  -10.344513,
+		"XmMvAppMUb":                            -10.344513,
+		"XmlInputPath=":                         -10.344513,
+		"XnxIBIdrEnf":                           -10.344513,
+		"Xs":                                    -10.344513,
+		"XslPath=":                              -10.344513,
+		"Xu":                                    -10.344513,
+		"XuXBkUyOx":                             -10.344513,
+		"Xw":                                    -10.344513,
+		"Xy":                                    -10.344513,
+		"Y":                                     -8.398603,
+		"Y=":                                    -8.735075,
+		"YAAAAASUVORK":                          -10.344513,
+		"YAunhIkT":                              -10.344513,
+		"YBGRDGARACIGfU":                        -10.344513,
+		"YCRCH":                                 -10.344513,
+		"YGJF":                                  -10.344513,
+		"YKgHgbWYoKEUD":                         -10.344513,
+		"YMCgwKDAYKD":                           -10.344513,
+		"YMYy/":                                 -10.344513,
+		"YMrPObHy/u":                            -10.344513,
+		"YN":                                    -10.344513,
+		"YOQ":                                   -10.344513,
+		"YTtcYqSwuW":                            -10.344513,
+		"YVkPUqssYU":                            -10.344513,
+		"YWiFAKaHTokI":                          -10.344513,
+		"YZy":                                   -10.344513,
+		"Ye":                                    -10.344513,
+		"Yfvq":                                  -10.344513,
+		"YgZZvx":                                -10.344513,
+		"Ygc":                                   -10.344513,
+		"YhAF":                                  -10.344513,
+		"YhYJEm/":                               -10.344513,
+		"YhfYAwnQzulBQdc":                       -10.344513,
+		"YhuunCcp":                              -10.344513,
+		"Yi":                                    -10.344513,
+		"YiK":                                   -10.344513,
+		"YiqMcT":                                -10.344513,
+		"Yj":                                    -10.344513,
+		"YjprkLcJOo":                            -10.344513,
+		"Yk":                                    -10.344513,
+		"YkTFmrP":                               -10.344513,
+		"YnpN":                                  -10.344513,
+		"YnrUMzAFTMz":                           -10.344513,
+		"YoJMahcQVQHBbOfAmrDsgC":                -10.344513,
+		"You":                                   -10.344513,
+		"YpQsF":                                 -10.344513,
+		"YpsYKz":                                -10.344513,
+		"YrrTNcV":                               -10.344513,
+		"Yrs":                                   -10.344513,
+		"YsQKBqFjBvzA":                          -10.344513,
+		"YtI":                                   -10.344513,
+		"YwGS":                                  -10.344513,
+		"YwkPPx":                                -10.344513,
+		"Yx":                                    -10.344513,
+		"Yy":                                    -10.344513,
+		"Yz":                                    -10.344513,
+		"Yzb":                                   -10.344513,
+		"Z":                                     -8.398603,
+		"Z/HrKPYnEj":                            -10.344513,
+		"ZAAAAF":                                -9.651366,
+		"ZAAAAhklEQVR":                          -10.344513,
+		"ZAAAB/":                                -10.344513,
+		"ZAAAB/klEQVR":                          -10.344513,
+		"ZAAABYklEQVR":                          -10.344513,
+		"ZAAABfElEQVR":                          -10.344513,
+		"ZAAABjklEQVR":                          -10.344513,
+		"ZAAABvklEQVR":                          -10.344513,
+		"ZAAACIUlEQVR":                          -10.344513,
+		"ZAAACK":                                -10.344513,
+		"ZAAACMUlEQVR":                          -10.344513,
+		"ZAAACN":                                -10.344513,
+		"ZAAACNElEQVR":                          -10.344513,
+		"ZAAACO":                                -10.344513,
+		"ZAAACOElEQVR":                          -10.344513,
+		"ZAAACOUlEQVR":                          -10.344513,
+		"ZAAACP":                                -10.344513,
+		"ZAAACPUlEQVR":                          -10.344513,
+		"ZAAACQ":                                -10.344513,
+		"ZAAACQklEQVR":                          -10.344513,
+		"ZAAACS":                                -10.344513,
+		"ZAAACSElEQVR":                          -10.344513,
+		"ZAAACSUlEQVR":                          -10.344513,
+		"ZAAACTElEQVR":                          -10.344513,
+		"ZAAACUElEQVR":                          -10.344513,
+		"ZAAACUklEQVR":                          -10.344513,
+		"ZAAACV":                                -10.344513,
+		"ZAAACYUlEQVR":                          -10.344513,
+		"ZAAACjElEQVR":                          -10.344513,
+		"ZAuuu":                                 -10.344513,
+		"ZAvLtuTd":                              -10.344513,
+		"ZC":                                    -10.344513,
+		"ZCVwdsiun":                             -10.344513,
+		"ZChWhmJN/hlcTERrXVWcq":                 -10.344513,
+		"ZEb":                                   -10.344513,
+		"ZFJSQJk":                               -10.344513,
+		"ZFPXviIWIJAHJ/S":                       -10.344513,
+		"ZGA":                                   -10.344513,
+		"ZH":                                    -10.344513,
+		"ZHv":                                   -10.344513,
+		"ZJhH":                                  -10.344513,
+		"ZLbbmL":                                -10.344513,
+		"ZLeVIwGFst":                            -10.344513,
+		"ZPyUmZ":                                -10.344513,
+		"ZQ":                                    -10.344513,
+		"ZQwAAAABJRU":                           -10.344513,
+		"ZR":                                    -10.344513,
+		"ZRiESZ":                                -10.344513,
+		"ZSO":                                   -10.344513,
+		"ZSrk/g":                                -10.344513,
+		"ZTC":                                   -10.344513,
+		"ZUaiksejgA":                            -10.344513,
+		"ZUlFIRaEShEYVUFFJREVExomLEidjv":        -10.344513,
+		"ZWc":                                   -10.344513,
+		"ZWoicWMkECgqQIklY":                     -10.344513,
+		"ZX":                                    -10.344513,
+		"ZZ":                                    -10.344513,
+		"Zbi":                                   -10.344513,
+		"Zcp":                                   -10.344513,
+		"ZdmLWwHIv":                             -10.344513,
+		"Zdvx":                                  -10.344513,
+		"Ze":                                    -10.344513,
+		"ZeTMM":                                 -10.344513,
+		"Zecy":                                  -10.344513,
+		"Zeubr":                                 -10.344513,
+		"Zf":                                    -10.344513,
+		"ZfiRIzkvYGna":                          -10.344513,
+		"Zfo":                                   -10.344513,
+		"Zg":                                    -10.344513,
+		"ZgST":                                  -10.344513,
+		"ZhjPPElRYMEMrNItgjA":                   -10.344513,
+		"Zho":                                   -10.344513,
+		"ZiScdCWe":                              -10.344513,
+		"Zillig":                                -10.344513,
+		"ZipFileName=":                          -10.344513,
+		"ZipLevel=":                             -10.344513,
+		"ZkVz":                                  -10.344513,
+		"ZkcyxTSC":                              -10.344513,
+		"ZnFYxOhOUeqc/vGlLPpUrAKwnFDSstPPJu/":   -10.344513,
+		"ZnYzGv":                                -10.344513,
+		"ZrnvSuGlIb":                            -10.344513,
+		"ZvDb":                                  -10.344513,
+		"ZvLB/eOxTAAAAAElFTkSuQmCC":             -10.344513,
+		"ZwJqBAPZrquXujKbP":                     -10.344513,
+		"Zy":                                    -10.344513,
+		"Zzeuq":                                 -10.344513,
+		"ZziW":                                  -10.344513,
+		"[":                                     -7.779563,
+		"\\":                                    -5.076655,
+		"]":                                     -6.789165,
+		"_DEBUG":                                -10.344513,
+		"_PlatformToolsetFriendlyNameFor_v":     -10.344513,
+		"_PlatformToolsetShortNameFor_v":        -10.344513,
+		"_bench.d":                              -10.344513,
+		"_bench.png":                            -10.344513,
+		"_scd":                                  -8.735075,
+		"_woodstack.d":                          -10.344513,
+		"a":                                     -5.041208,
+		"a.SourceDecls.Select":                  -10.344513,
+		"a.SourceFileDeclAvailable":             -10.344513,
+		"aDGF":                                  -10.344513,
+		"aGUraCBBU":                             -10.344513,
+		"aKBOADiMiarVAVEK":                      -10.344513,
+		"aNIckLMnQyPIh":                         -10.344513,
+		"aQUduSsanKjnVDe":                       -10.344513,
+		"aRgrIw/ghE":                            -10.344513,
+		"aSbNw":                                 -10.344513,
+		"aT":                                    -10.344513,
+		"aUk":                                   -10.344513,
+		"aWpGxYTnvvMN/STd":                      -10.344513,
+		"aX":                                    -10.344513,
+		"aXzwZFkJzLh":                           -10.344513,
+		"aYOiBj":                                -10.344513,
+		"aa":                                    -10.344513,
+		"ab":                                    -9.651366,
+		"abbr":                                  -9.651366,
+		"able":                                  -9.245901,
+		"about":                                 -8.552753,
+		"above":                                 -9.651366,
+		"ac":                                    -10.344513,
+		"acVEBeIEomICe":                         -10.344513,
+		"accept":                                -10.344513,
+		"access":                                -9.245901,
+		"accommodate":                           -10.344513,
+		"accordingly":                           -10.344513,
+		"achieve":                               -10.344513,
+		"across":                                -9.651366,
+		"act":                                   -9.651366,
+		"action":                                -9.245901,
+		"action_end_game":                       -10.344513,
+		"actual":                                -8.735075,
+		"add":                                   -8.147288,
+		"added":                                 -8.398603,
+		"added.":                                -8.735075,
+		"added/removed":                         -10.344513,
+		"adding":                                -9.245901,
+		"addition":                              -8.958218,
+		"additional":                            -9.245901,
+		"additionnal":                           -10.344513,
+		"adds":                                  -9.651366,
+		"adipiscing":                            -10.344513,
+		"ado":                                   -10.344513,
+		"adopting":                              -10.344513,
+		"advised":                               -10.344513,
+		"ae":                                    -9.245901,
+		"af":                                    -10.344513,
+		"after":                                 -9.651366,
+		"agn":                                   -10.344513,
+		"ahhUl":                                 -10.344513,
+		"ahnYcXg":                               -10.344513,
+		"aims":                                  -9.651366,
+		"akWxuWYJxV":                            -10.344513,
+		"al":                                    -6.560323,
+		"algorithmic":                           -10.344513,
+		"align":                                 -7.125637,
+		"align=":                                -6.067847,
+		"aligned":                               -10.344513,
+		"alignment":                             -9.651366,
+		"alignment=":                            -10.344513,
+		"aliquam":                               -10.344513,
+		"all":                                   -7.946618,
+		"allcaps":                               -10.344513,
+		"allow":                                 -9.651366,
+		"allowed.":                              -10.344513,
+		"allowing":                              -10.344513,
+		"allows":                                -7.571924,
+		"almost":                                -9.651366,
+		"alongside":                             -10.344513,
+		"alpVmc":                                -10.344513,
+		"alpha=":                                -10.344513,
+		"already":                               -10.344513,
+		"also":                                  -7.454141,
+		"alt=":                                  -7.012308,
+		"always":                                -6.878777,
+		"amet":                                  -10.344513,
+		"amp":                                   -7.571924,
+		"an":                                    -5.811913,
+		"anQ":                                   -10.344513,
+		"analysis.":                             -10.344513,
+		"analyze":                               -10.344513,
+		"ancestor":                              -8.398603,
+		"and":                                   -5.461711,
+		"and/or":                                -10.344513,
+		"and=":                                  -7.400074,
+		"annotation":                            -10.344513,
+		"another":                               -9.245901,
+		"ant":                                   -10.344513,
+		"ante":                                  -8.552753,
+		"antlib":                                -9.651366,
+		"any":                                   -7.454141,
+		"anything":                              -9.651366,
+		"aoqjman":                               -10.344513,
+		"aoxqZoF":                               -10.344513,
+		"apache":                                -9.651366,
+		"application":                           -9.245901,
+		"application/x":                         -8.958218,
+		"applications":                          -9.651366,
+		"applies":                               -10.344513,
+		"apply":                                 -9.245901,
+		"approach":                              -10.344513,
+		"appropriate":                           -9.245901,
+		"arbitrarily":                           -9.651366,
+		"architecture":                          -10.344513,
+		"architecture.":                         -10.344513,
+		"archive":                               -10.344513,
+		"are":                                   -7.012308,
+		"area":                                  -9.651366,
+		"areas":                                 -10.344513,
+		"arguments=":                            -6.655633,
+		"arising":                               -10.344513,
+		"armHYZ":                                -10.344513,
+		"array":                                 -9.651366,
+		"article":                               -8.958218,
+		"artifact":                              -10.344513,
+		"artifactName=":                         -9.651366,
+		"as":                                    -6.412687,
+		"as=":                                   -9.651366,
+		"asJhp":                                 -10.344513,
+		"asTSqV":                                -10.344513,
+		"asm":                                   -10.344513,
+		"asmSourceFilesPaths":                   -9.651366,
+		"asmx":                                  -10.344513,
+		"assigned":                              -9.651366,
+		"associated":                            -10.344513,
+		"associates":                            -10.344513,
+		"association":                           -10.344513,
+		"assumption":                            -8.958218,
+		"async":                                 -9.245901,
+		"asynchronous":                          -8.958218,
+		"asyncronous":                           -10.344513,
+		"at":                                    -8.552753,
+		"attached.":                             -10.344513,
+		"attaching":                             -10.344513,
+		"attclasses":                            -10.344513,
+		"attempt":                               -10.344513,
+		"attempts":                              -10.344513,
+		"attlist":                               -8.958218,
+		"attrib":                                -7.946618,
+		"attribute":                             -9.651366,
+		"author":                                -9.245901,
+		"automatically":                         -9.245901,
+		"available":                             -9.651366,
+		"available.":                            -10.344513,
+		"avoid":                                 -9.651366,
+		"awesome":                               -10.344513,
+		"axOHLu":                                -10.344513,
+		"ay":                                    -10.344513,
+		"ayJ":                                   -10.344513,
+		"b":                                     -7.511299,
+		"b/sYwCsPDinSuun":                       -10.344513,
+		"bAwq":                                  -10.344513,
+		"bCXZhMq":                               -10.344513,
+		"bD":                                    -10.344513,
+		"bDR":                                   -10.344513,
+		"bEiw":                                  -10.344513,
+		"bEjCJmbCxieBe":                         -10.344513,
+		"bKOH":                                  -10.344513,
+		"bLWClmtuQ":                             -10.344513,
+		"bLjdJXkp/dow":                          -10.344513,
+		"bLm":                                   -10.344513,
+		"bLvC":                                  -10.344513,
+		"bNPFqtBz":                              -10.344513,
+		"bNWqLwvMVhEOo/hu":                      -10.344513,
+		"bOpVcmp/UqGS":                          -10.344513,
+		"bPRp":                                  -10.344513,
+		"bQSY":                                  -10.344513,
+		"bRl":                                   -10.344513,
+		"bSjE":                                  -10.344513,
+		"bTVkkLBijuc":                           -10.344513,
+		"bU":                                    -10.344513,
+		"bVBXwdgbukndLA":                        -10.344513,
+		"bVDWta":                                -10.344513,
+		"bW":                                    -10.344513,
+		"bZ":                                    -10.344513,
+		"bZRIPzDfFuj":                           -10.344513,
+		"backed":                                -10.344513,
+		"background":                            -6.374221,
+		"backing":                               -8.147288,
+		"backpack":                              -9.651366,
+		"bak_soldier":                           -10.344513,
+		"banned":                                -10.344513,
+		"bark.png":                              -10.344513,
+		"base":                                  -7.511299,
+		"base.dll":                              -8.735075,
+		"based":                                 -8.041928,
+		"basic":                                 -10.344513,
+		"basis":                                 -10.344513,
+		"bat":                                   -10.344513,
+		"battleScribeVersion=":                  -10.344513,
+		"bbwzGcGAtrhU":                          -10.344513,
+		"bc":                                    -10.344513,
+		"bc8a":                                  -9.651366,
+		"bcHvSFn/gW":                            -10.344513,
+		"bccc":                                  -10.344513,
+		"bd":                                    -10.344513,
+		"bdD":                                   -10.344513,
+		"bdjDRn":                                -10.344513,
+		"be":                                    -5.975065,
+		"beae":                                  -10.344513,
+		"because":                               -9.651366,
+		"become":                                -10.344513,
+		"been":                                  -8.398603,
+		"before":                                -8.147288,
+		"behN":                                  -10.344513,
+		"behaviour=":                            -5.208714,
+		"being":                                 -9.245901,
+		"bel":                                   -9.651366,
+		"believe":                               -10.344513,
+		"below":                                 -8.735075,
+		"below.":                                -10.344513,
+		"benefit":                               -10.344513,
+		"between":                               -7.636463,
+		"beyond":                                -10.344513,
+		"bh":                                    -10.344513,
+		"bibl":                                  -9.651366,
+		"biblFull":                              -9.245901,
+		"biblStruct":                            -9.651366,
+		"bin":                                   -7.636463,
+		"binary":                                -9.651366,
+		"binary_value_":                         -9.651366,
+		"binding.":                              -10.344513,
+		"bindings":                              -7.705456,
+		"bkLrxZWDtoyX":                          -10.344513,
+		"bl":                                    -10.344513,
+		"blKxZvZlbWYOs":                         -10.344513,
+		"black":                                 -10.344513,
+		"blackLetter":                           -10.344513,
+		"blackletter":                           -10.344513,
+		"blackletterType":                       -10.344513,
+		"blank_spr":                             -10.344513,
+		"bllzG":                                 -10.344513,
+		"block":                                 -9.245901,
+		"blog":                                  -10.344513,
+		"blue=":                                 -10.344513,
+		"bmp":                                   -10.344513,
+		"bnQIqNlXwVynYbSzL":                     -10.344513,
+		"bo":                                    -9.245901,
+		"body":                                  -8.147288,
+		"bol":                                   -10.344513,
+		"bold":                                  -7.636463,
+		"bon":                                   -10.344513,
+		"book":                                  -8.735075,
+		"books":                                 -9.245901,
+		"bool_value_":                           -9.651366,
+		"bootstrap=":                            -10.344513,
+		"border":                                -7.166459,
+		"border=":                               -7.125637,
+		"bot":                                   -9.245901,
+		"both":                                  -8.958218,
+		"bottom":                                -6.110406,
+		"bottom=":                               -10.344513,
+		"bottombraced":                          -10.344513,
+		"boxed":                                 -10.344513,
+		"bpDp":                                  -10.344513,
+		"bq":                                    -10.344513,
+		"bqeDju":                                -10.344513,
+		"br":                                    -9.651366,
+		"braced":                                -10.344513,
+		"bring":                                 -10.344513,
+		"brings":                                -10.344513,
+		"brm":                                   -10.344513,
+		"broadcast":                             -9.651366,
+		"bt":                                    -10.344513,
+		"btEztdVhytfg":                          -10.344513,
+		"btjcrb":                                -10.344513,
+		"build":                                 -8.398603,
+		"buildArtefactType=":                    -9.651366,
+		"buildPath=":                            -9.651366,
+		"buildProperties=":                      -9.651366,
+		"buildSystemId=":                        -9.651366,
+		"built":                                 -10.344513,
+		"builtIn=":                              -8.958218,
+		"bus.":                                  -10.344513,
+		"business":                              -10.344513,
+		"but":                                   -8.041928,
+		"bv":                                    -10.344513,
+		"bvsxXDBStU":                            -10.344513,
+		"bwUbNW":                                -10.344513,
+		"by":                                    -7.012308,
+		"byte":                                  -9.651366,
+		"c":                                     -6.910526,
+		"c/AW":                                  -10.344513,
+		"cCk":                                   -10.344513,
+		"cCkDERKQaWGBBhEVUGkBVRywCQHRDqDAIOAAwUHCgxG": -10.344513,
+		"cDKe":                                 -10.344513,
+		"cDPN":                                 -10.344513,
+		"cDTYCjcwjYW":                          -10.344513,
+		"cEG":                                  -10.344513,
+		"cEhhoaGpoGBgYamhoaWgOTFeaCSS":         -10.344513,
+		"cEikAlzOTMh":                          -10.344513,
+		"cH":                                   -10.344513,
+		"cIzL":                                 -10.344513,
+		"cJ":                                   -10.344513,
+		"cJIxYisgHEatA":                        -10.344513,
+		"cKov":                                 -10.344513,
+		"cL/wB":                                -10.344513,
+		"cLbf":                                 -10.344513,
+		"cMz":                                  -10.344513,
+		"cOJLfDPsmGFNUL":                       -10.344513,
+		"cPugAAAABJRU":                         -10.344513,
+		"cQUrnXhXBSui":                         -10.344513,
+		"cRoQcROJDYJYmHklxUBoMDcxUyAZVUg":      -10.344513,
+		"cSpyS":                                -10.344513,
+		"cVcqlf/":                              -10.344513,
+		"cVwZgpTAGA/WRiAYSrLwXf":               -10.344513,
+		"c_gray":                               -10.344513,
+		"caI/i":                                -10.344513,
+		"cache":                                -7.705456,
+		"cache.":                               -8.735075,
+		"cached":                               -9.651366,
+		"caches":                               -9.651366,
+		"calculation":                          -8.265071,
+		"calculationFunc":                      -9.651366,
+		"call":                                 -8.735075,
+		"called":                               -8.735075,
+		"called.":                              -10.344513,
+		"calls.":                               -9.651366,
+		"can":                                  -7.511299,
+		"cannot":                               -10.344513,
+		"cap":                                  -10.344513,
+		"capacity_after_header_":               -10.344513,
+		"capitalize":                           -6.412687,
+		"caps":                                 -10.344513,
+		"case":                                 -6.027025,
+		"castable":                             -8.958218,
+		"casting":                              -10.344513,
+		"caused":                               -10.344513,
+		"cb":                                   -9.651366,
+		"cc":                                   -9.651366,
+		"ccx":                                  -10.344513,
+		"cd":                                   -9.651366,
+		"cda":                                  -10.344513,
+		"cell":                                 -8.735075,
+		"center":                               -9.245901,
+		"centre":                               -10.344513,
+		"centric":                              -10.344513,
+		"cfa":                                  -10.344513,
+		"cgksgxBnPhfaPERJZhX":                  -10.344513,
+		"chained":                              -9.651366,
+		"change":                               -7.086416,
+		"change.":                              -7.859606,
+		"changed":                              -7.454141,
+		"changed.":                             -8.147288,
+		"changes":                              -7.779563,
+		"changes.":                             -9.651366,
+		"char":                                 -7.779563,
+		"char*":                                -10.344513,
+		"character":                            -10.344513,
+		"characters":                           -9.651366,
+		"charoff":                              -9.245901,
+		"checks.":                              -10.344513,
+		"chen":                                 -9.651366,
+		"child":                                -8.958218,
+		"choice":                               -10.344513,
+		"choices":                              -9.245901,
+		"ciABPZvAbNl":                          -10.344513,
+		"cia":                                  -9.651366,
+		"cifuR/Z":                              -10.344513,
+		"ciibcwPvF":                            -10.344513,
+		"citation":                             -10.344513,
+		"clVdZLL":                              -10.344513,
+		"clarification":                        -10.344513,
+		"class":                                -7.859606,
+		"class=":                               -9.651366,
+		"classdef=":                            -10.344513,
+		"classes":                              -8.958218,
+		"classes.":                             -9.651366,
+		"classification":                       -10.344513,
+		"clean":                                -10.344513,
+		"cleanCommand=":                        -9.651366,
+		"clear":                                -9.651366,
+		"clearsContextBeforeDrawing=":          -10.344513,
+		"client.":                              -9.651366,
+		"clu":                                  -10.344513,
+		"cmpE":                                 -10.344513,
+		"co":                                   -10.344513,
+		"coarse":                               -10.344513,
+		"code":                                 -8.552753,
+		"collection":                           -7.048676,
+		"collection.":                          -8.552753,
+		"collections":                          -10.344513,
+		"colname":                              -9.245901,
+		"colnum":                               -10.344513,
+		"color":                                -6.452693,
+		"color=":                               -6.630941,
+		"colorSpace=":                          -10.344513,
+		"colors=":                              -10.344513,
+		"colour.":                              -10.344513,
+		"cols":                                 -7.779563,
+		"colspec":                              -7.705456,
+		"column":                               -8.958218,
+		"colwidth":                             -8.265071,
+		"com":                                  -8.147288,
+		"combination":                          -9.651366,
+		"come":                                 -10.344513,
+		"command=":                             -6.655633,
+		"commercial":                           -10.344513,
+		"common":                               -10.344513,
+		"communicate":                          -9.651366,
+		"community.":                           -10.344513,
+		"compare":                              -10.344513,
+		"compatVersion=":                       -10.344513,
+		"compatible":                           -10.344513,
+		"compilation":                          -10.344513,
+		"compile":                              -10.344513,
+		"compiler":                             -10.344513,
+		"complete":                             -9.245901,
+		"completes":                            -8.958218,
+		"component=":                           -9.245901,
+		"compute":                              -10.344513,
+		"computer":                             -10.344513,
+		"concat":                               -9.651366,
+		"concurrent":                           -8.735075,
+		"concurrently":                         -9.651366,
+		"conditions":                           -9.245901,
+		"conf":                                 -10.344513,
+		"conf=":                                -9.651366,
+		"conference":                           -10.344513,
+		"config":                               -10.344513,
+		"configure":                            -10.344513,
+		"configured":                           -10.344513,
+		"consectetur":                          -10.344513,
+		"consequential":                        -10.344513,
+		"consistently":                         -10.344513,
+		"constrain":                            -10.344513,
+		"constrained":                          -8.552753,
+		"constructors":                         -7.859606,
+		"contact":                              -10.344513,
+		"contain":                              -10.344513,
+		"contains":                             -9.651366,
+		"content":                              -8.041928,
+		"contentMode=":                         -10.344513,
+		"contents":                             -9.245901,
+		"context=":                             -8.147288,
+		"contract":                             -10.344513,
+		"contract.":                            -9.651366,
+		"contrast":                             -10.344513,
+		"contributors":                         -9.651366,
+		"control":                              -10.344513,
+		"convenient.":                          -10.344513,
+		"convention":                           -9.651366,
+		"conversion":                           -10.344513,
+		"convert":                              -9.651366,
+		"copyright":                            -8.958218,
+		"core":                                 -10.344513,
+		"corpus":                               -9.651366,
+		"corr":                                 -9.245901,
+		"corresp":                              -10.344513,
+		"corresponding":                        -9.651366,
+		"corresponds":                          -10.344513,
+		"cost":                                 -9.651366,
+		"could":                                -9.651366,
+		"count":                                -7.946618,
+		"count=":                               -9.651366,
+		"countTowardsParentMaxPercentage=":     -9.651366,
+		"countTowardsParentMaxPoints=":         -9.651366,
+		"countTowardsParentMaxSelections=":     -9.651366,
+		"countTowardsParentMinPercentage=":     -9.651366,
+		"countTowardsParentMinPoints=":         -9.651366,
+		"countTowardsParentMinSelections=":     -9.651366,
+		"coupled":                              -9.651366,
+		"cover":                                -9.651366,
+		"cpjPnFcT":                             -10.344513,
+		"cpp":                                  -7.946618,
+		"cq":                                   -10.344513,
+		"created":                              -8.958218,
+		"creating":                             -8.958218,
+		"cref=":                                -10.344513,
+		"csa":                                  -10.344513,
+		"csproj":                               -9.245901,
+		"csproj_sample":                        -10.344513,
+		"cu":                                   -10.344513,
+		"cuWH":                                 -10.344513,
+		"cur":                                  -10.344513,
+		"currencies":                           -10.344513,
+		"current":                              -7.636463,
+		"currently":                            -9.245901,
+		"cursive":                              -9.245901,
+		"custom":                               -8.958218,
+		"customClass=":                         -9.651366,
+		"customization":                        -8.958218,
+		"customizations":                       -9.651366,
+		"customizations.":                      -10.344513,
+		"customize":                            -10.344513,
+		"cx/":                                  -10.344513,
+		"cxx":                                  -10.344513,
+		"czYrC":                                -10.344513,
+		"d":                                    -6.515871,
+		"d.gml":                                -8.958218,
+		"d/":                                   -10.344513,
+		"d6dde5":                               -10.344513,
+		"dABowIYXxg":                           -10.344513,
+		"dBHjwHIgsdaSSmA":                      -10.344513,
+		"dBU":                                  -10.344513,
+		"dCLvBpPcHsf":                          -10.344513,
+		"dD":                                   -6.789165,
+		"dEOfKsfPeh":                           -10.344513,
+		"dETRQBmoVHnv":                         -10.344513,
+		"dGr":                                  -10.344513,
+		"dIH":                                  -10.344513,
+		"dJZEgAgX":                             -10.344513,
+		"dKuHpm":                               -10.344513,
+		"dLMMUHSiSXMEDJBGgRJESRFkBRB":          -10.344513,
+		"dNIsPeWcD/":                           -10.344513,
+		"dQ":                                   -10.344513,
+		"dR":                                   -10.344513,
+		"dTFte":                                -10.344513,
+		"dUSennNZLmI":                          -10.344513,
+		"dUWcSgrpv":                            -10.344513,
+		"dV":                                   -10.344513,
+		"dVLhTI":                               -10.344513,
+		"dVTfJWDtzwwgByQWEcJucRTE":             -10.344513,
+		"dWcXXEhjUkQXf":                        -10.344513,
+		"dWkYceL":                              -10.344513,
+		"dX/":                                  -10.344513,
+		"dZKgOSGzpBQIvsQZhbx":                  -10.344513,
+		"d_parent":                             -10.344513,
+		"d_particle":                           -10.344513,
+		"d_set_projection_simple.gml":          -10.344513,
+		"dabap":                                -10.344513,
+		"damage.":                              -10.344513,
+		"damages":                              -10.344513,
+		"dapibus":                              -8.552753,
+		"dashed":                               -10.344513,
+		"data":                                 -7.779563,
+		"datatype":                             -9.651366,
+		"date":                                 -10.344513,
+		"daunting":                             -10.344513,
+		"dbkNzCebm":                            -10.344513,
+		"ddMhYfHp":                             -10.344513,
+		"de":                                   -9.651366,
+		"deMuHU":                               -10.344513,
+		"deRZMRiGRsZymKJdcSlukFTey":            -10.344513,
+		"declare":                              -9.651366,
+		"declared":                             -10.344513,
+		"decorInit":                            -10.344513,
+		"decoration":                           -8.265071,
+		"deep":                                 -9.651366,
+		"def":                                  -10.344513,
+		"default":                              -7.946618,
+		"default.":                             -9.651366,
+		"defaultValue=":                        -9.651366,
+		"defaults":                             -10.344513,
+		"define":                               -10.344513,
+		"defined":                              -9.651366,
+		"deg":                                  -8.958218,
+		"degree":                               -10.344513,
+		"delay":                                -9.651366,
+		"delay.":                               -9.651366,
+		"delete":                               -9.651366,
+		"delimiter":                            -7.012308,
+		"delimiter=":                           -6.606843,
+		"dellorus.":                            -10.344513,
+		"delta_":                               -8.398603,
+		"demexp":                               -10.344513,
+		"demote":                               -10.344513,
+		"departure":                            -10.344513,
+		"dependencies":                         -10.344513,
+		"dependency":                           -10.344513,
+		"depending":                            -10.344513,
+		"depends":                              -10.344513,
+		"depersist":                            -10.344513,
+		"derive":                               -10.344513,
+		"describe":                             -10.344513,
+		"describes":                            -10.344513,
+		"description":                          -10.344513,
+		"description=":                         -8.958218,
+		"descriptive":                          -10.344513,
+		"descriptor=":                          -9.245901,
+		"design":                               -9.651366,
+		"designed":                             -10.344513,
+		"designer":                             -10.344513,
+		"desirable":                            -10.344513,
+		"destination=":                         -10.344513,
+		"detail_particle.d":                    -10.344513,
+		"details":                              -9.651366,
+		"determine":                            -10.344513,
+		"determined":                           -10.344513,
+		"developed":                            -10.344513,
+		"developer":                            -9.651366,
+		"dfd":                                  -10.344513,
+		"dgkZWVtUjkZGVt":                       -10.344513,
+		"dict_":                                -9.651366,
+		"dictate":                              -10.344513,
+		"dictionaries":                         -10.344513,
+		"dictionary":                           -10.344513,
+		"dictum":                               -10.344513,
+		"difference":                           -10.344513,
+		"differently":                          -10.344513,
+		"differing":                            -10.344513,
+		"digital":                              -9.245901,
+		"digitized":                            -10.344513,
+		"diplomatic":                           -10.344513,
+		"diqYCduhNy":                           -10.344513,
+		"dir":                                  -7.859606,
+		"direct":                               -10.344513,
+		"directly":                             -10.344513,
+		"disable":                              -10.344513,
+		"disableLights.gml":                    -10.344513,
+		"disabled":                             -10.344513,
+		"disambiguate":                         -10.344513,
+		"disambiguate=":                        -10.344513,
+		"discarded.":                           -8.958218,
+		"disciplines":                          -10.344513,
+		"disclaimed.":                          -10.344513,
+		"disclaimer":                           -10.344513,
+		"disclaimer.":                          -10.344513,
+		"disconnects":                          -10.344513,
+		"disk":                                 -10.344513,
+		"display":                              -8.552753,
+		"display=":                             -10.344513,
+		"displayName=":                         -9.651366,
+		"displaying":                           -10.344513,
+		"disposed":                             -8.958218,
+		"disposed.":                            -9.245901,
+		"distinct":                             -10.344513,
+		"distinguish":                          -7.859606,
+		"distribution.":                        -10.344513,
+		"diversity":                            -10.344513,
+		"dj":                                   -10.344513,
+		"dk":                                   -10.344513,
+		"dkqq":                                 -10.344513,
+		"dlg":                                  -10.344513,
+		"dll=":                                 -9.245901,
+		"dm/syEuBnIZ/FJk":                      -10.344513,
+		"dnHxXz":                               -10.344513,
+		"dnZnMhGdDb":                           -10.344513,
+		"do":                                   -8.735075,
+		"doZ":                                  -10.344513,
+		"document":                             -10.344513,
+		"documentation":                        -10.344513,
+		"documentation.":                       -10.344513,
+		"documents":                            -10.344513,
+		"does":                                 -9.651366,
+		"doesn":                                -9.651366,
+		"dolor":                                -10.344513,
+		"domain":                               -9.651366,
+		"don":                                  -9.651366,
+		"done":                                 -9.245901,
+		"dotnet":                               -10.344513,
+		"dotted":                               -9.245901,
+		"double":                               -9.651366,
+		"double_value_":                        -9.651366,
+		"doublestrikethrough":                  -10.344513,
+		"doubleunderline":                      -10.344513,
+		"down":                                 -10.344513,
+		"download":                             -10.344513,
+		"dq":                                   -10.344513,
+		"dqSxtvv":                              -10.344513,
+		"drIyMrY/oOTFScrKioqKioiwhFxcBWFVhQaU": -10.344513,
+		"draw_set_color":                       -10.344513,
+		"draw_text":                            -10.344513,
+		"drawing":                              -10.344513,
+		"driver":                               -10.344513,
+		"dropcap":                              -10.344513,
+		"dropping":                             -10.344513,
+		"dsSnFfZPDYYbh":                        -10.344513,
+		"dt":                                   -10.344513,
+		"dtOi":                                 -10.344513,
+		"dtd":                                  -10.344513,
+		"dtfd":                                 -10.344513,
+		"dtsp":                                 -10.344513,
+		"du/WLA":                               -10.344513,
+		"dummy":                                -10.344513,
+		"duplicate":                            -9.651366,
+		"during":                               -10.344513,
+		"dvzljzpEHqTINVaFlVVvFtDutIx":          -10.344513,
+		"dw":                                   -10.344513,
+		"dxto":                                 -10.344513,
+		"dyLaqz":                               -10.344513,
+		"dzOBEHSD":                             -10.344513,
+		"dzvgD":                                -10.344513,
+		"e":                                    -7.454141,
+		"e/":                                   -10.344513,
+		"e/V":                                  -10.344513,
+		"eAHR":                                 -10.344513,
+		"eAraFFxrUh":                           -10.344513,
+		"eCk":                                  -10.344513,
+		"eDQwMDDQNDIwKqqisBZbOINKZRJGBZRlY":    -10.344513,
+		"eFK":                                  -10.344513,
+		"eHFnSwy":                              -10.344513,
+		"eHLDuBZ":                              -10.344513,
+		"eHlbMe":                               -10.344513,
+		"eIyc":                                 -10.344513,
+		"eJs":                                  -10.344513,
+		"eMO":                                  -10.344513,
+		"eMcMZP":                               -10.344513,
+		"ePtGvg":                               -10.344513,
+		"eSpGDNDAiPZFJmK":                      -10.344513,
+		"eTP/inB":                              -10.344513,
+		"eVHmVP":                               -10.344513,
+		"eWXzeRuTy":                            -10.344513,
+		"eXsNb":                                -10.344513,
+		"eYZKz":                                -10.344513,
+		"eZsl":                                 -10.344513,
+		"ea":                                   -8.958218,
+		"each":                                 -8.398603,
+		"early":                                -10.344513,
+		"easier":                               -10.344513,
+		"easily":                               -9.651366,
+		"easyant":                              -8.398603,
+		"ec":                                   -10.344513,
+		"ecVYf":                                -10.344513,
+		"ed":                                   -10.344513,
+		"edgXgYdwvQAJsbrFvdGUDu/QQYZOXPwj":     -10.344513,
+		"edition":                              -10.344513,
+		"editions":                             -10.344513,
+		"editor":                               -10.344513,
+		"eds":                                  -10.344513,
+		"edy":                                  -10.344513,
+		"ee":                                   -10.344513,
+		"efNeLi":                               -10.344513,
+		"efffa":                                -10.344513,
+		"efqk":                                 -10.344513,
+		"ei":                                   -10.344513,
+		"either":                               -9.651366,
+		"ejt":                                  -10.344513,
+		"el":                                   -10.344513,
+		"element":                              -8.958218,
+		"elements":                             -8.398603,
+		"elit":                                 -10.344513,
+		"else":                                 -8.735075,
+		"em":                                   -8.552753,
+		"empty":                                -8.958218,
+		"en":                                   -8.147288,
+		"enable":                               -10.344513,
+		"enableLights.gml":                     -10.344513,
+		"enabled":                              -8.041928,
+		"enabled.":                             -10.344513,
+		"enabled=":                             -5.516199,
+		"encoded":                              -8.398603,
+		"encoders":                             -10.344513,
+		"encoding":                             -9.245901,
+		"encoding.":                            -9.245901,
+		"encoding=":                            -6.054053,
+		"encountered":                          -10.344513,
+		"encyclopedia":                         -9.651366,
+		"endeavor.":                            -10.344513,
+		"engine":                               -10.344513,
+		"enormous":                             -10.344513,
+		"enough":                               -10.344513,
+		"enough.":                              -10.344513,
+		"enrich":                               -10.344513,
+		"enrollments.":                         -10.344513,
+		"ensure":                               -9.245901,
+		"ensuring":                             -9.651366,
+		"ent":                                  -6.412687,
+		"enter":                                -9.651366,
+		"entire":                               -10.344513,
+		"entity":                               -10.344513,
+		"entries":                              -9.651366,
+		"entry":                                -7.946618,
+		"enumb=":                               -8.735075,
+		"environment":                          -10.344513,
+		"environment.":                         -10.344513,
+		"eoC":                                  -10.344513,
+		"eogWeP":                               -10.344513,
+		"epigraphic":                           -10.344513,
+		"eq":                                   -9.651366,
+		"eqWLuUbZ":                             -10.344513,
+		"eqdlq":                                -10.344513,
+		"equal":                                -9.651366,
+		"equivalent":                           -9.245901,
+		"equivalently":                         -10.344513,
+		"eschewing":                            -10.344513,
+		"especially":                           -10.344513,
+		"et":                                   -6.560323,
+		"eu":                                   -10.344513,
+		"ev":                                   -10.344513,
+		"evaluate":                             -10.344513,
+		"evaluated":                            -10.344513,
+		"even":                                 -10.344513,
+		"event":                                -10.344513,
+		"events.":                              -9.651366,
+		"eventtype=":                           -8.735075,
+		"everybody":                            -10.344513,
+		"evicted":                              -9.651366,
+		"ewJhR/D":                              -10.344513,
+		"examining":                            -10.344513,
+		"example":                              -9.245901,
+		"exbix":                                -10.344513,
+		"except":                               -10.344513,
+		"exchanged.":                           -10.344513,
+		"execution":                            -10.344513,
+		"exemplary":                            -10.344513,
+		"exist":                                -8.735075,
+		"existing":                             -8.958218,
+		"exists":                               -7.859606,
+		"expan":                                -8.958218,
+		"expected":                             -10.344513,
+		"expensive":                            -9.651366,
+		"explainText=":                         -10.344513,
+		"explicit":                             -10.344513,
+		"explicitly":                           -10.344513,
+		"exposes":                              -10.344513,
+		"express":                              -10.344513,
+		"expression":                           -9.245901,
+		"expression.":                          -10.344513,
+		"expressive":                           -10.344513,
+		"extended":                             -10.344513,
+		"extensible.":                          -10.344513,
+		"extension":                            -8.398603,
+		"extensionOf=":                         -10.344513,
+		"extensive":                            -10.344513,
+		"extraordinary":                        -10.344513,
+		"extremely":                            -10.344513,
+		"eyk":                                  -10.344513,
+		"ezLnBQLMkkgNWR":                       -10.344513,
+		"ezdlQvVNUrCJS":                        -10.344513,
+		"f":                                    -9.651366,
+		"f.HasAttribute":                       -10.344513,
+		"f.Name":                               -10.344513,
+		"f.ParentType.DeriveFrom":              -10.344513,
+		"fa8739":                               -7.705456,
+		"facebook":                             -10.344513,
+		"facilitates":                          -10.344513,
+		"facsimile":                            -10.344513,
+		"fail.":                                -10.344513,
+		"fake":                                 -8.958218,
+		"faking":                               -8.958218,
+		"false":                                -7.299990,
+		"family":                               -7.636463,
+		"fantasy":                              -10.344513,
+		"far":                                  -9.651366,
+		"fashion.":                             -10.344513,
+		"faster":                               -9.651366,
+		"features":                             -10.344513,
+		"feel":                                 -10.344513,
+		"felis":                                -9.245901,
+		"fern.d":                               -10.344513,
+		"fern.png":                             -10.344513,
+		"fetch":                                -10.344513,
+		"feugiat":                              -8.735075,
+		"few":                                  -10.344513,
+		"fff":                                  -8.735075,
+		"ffffff":                               -10.344513,
+		"field":                                -8.041928,
+		"field.":                               -10.344513,
+		"field=":                               -10.344513,
+		"file":                                 -8.398603,
+		"file.":                                -10.344513,
+		"filePath":                             -9.651366,
+		"filePath.ToString":                    -10.344513,
+		"filePath=":                            -6.655633,
+		"filePathLower":                        -10.344513,
+		"filePathLower.Contains":               -10.344513,
+		"filePathLower.EndsWithAny":            -10.344513,
+		"filename=":                            -7.571924,
+		"files":                                -9.651366,
+		"filled":                               -10.344513,
+		"filters":                              -10.344513,
+		"find_height.gml":                      -10.344513,
+		"finishes.":                            -10.344513,
+		"fire":                                 -7.946618,
+		"fire.d":                               -10.344513,
+		"fire_":                                -10.344513,
+		"firehay.d":                            -10.344513,
+		"fires":                                -8.552753,
+		"firewood":                             -10.344513,
+		"firewood.d":                           -10.344513,
+		"firewood.png":                         -10.344513,
+		"first":                                -5.962486,
+		"fitness":                              -10.344513,
+		"five":                                 -10.344513,
+		"fixed":                                -10.344513,
+		"flOQo":                                -10.344513,
+		"flags=":                               -9.651366,
+		"flat":                                 -10.344513,
+		"flattened":                            -9.651366,
+		"flexibility":                          -10.344513,
+		"flexibleMaxX=":                        -10.344513,
+		"flexibleMaxY=":                        -10.344513,
+		"flight":                               -9.651366,
+		"float":                                -9.245901,
+		"floral":                               -9.651366,
+		"flying_fuzzy.png":                     -10.344513,
+		"focusIndex=":                          -10.344513,
+		"focuses":                              -10.344513,
+		"focusing":                             -10.344513,
+		"folder":                               -10.344513,
+		"follow":                               -10.344513,
+		"followed":                             -10.344513,
+		"following":                            -8.735075,
+		"font":                                 -5.573828,
+		"foot":                                 -10.344513,
+		"for":                                  -5.822724,
+		"forTests=":                            -10.344513,
+		"form":                                 -9.245901,
+		"form=":                                -6.170126,
+		"formally":                             -10.344513,
+		"format":                               -8.958218,
+		"format=":                              -6.943315,
+		"formats":                              -10.344513,
+		"forms":                                -9.651366,
+		"found.":                               -10.344513,
+		"fps":                                  -10.344513,
+		"framework":                            -10.344513,
+		"framework.":                           -10.344513,
+		"free":                                 -9.651366,
+		"friendlier":                           -10.344513,
+		"from":                                 -7.086416,
+		"frustum_culling.gml":                  -10.344513,
+		"frustum_culling_init.gml":             -10.344513,
+		"fsproj":                               -10.344513,
+		"fsproj_sample":                        -9.651366,
+		"fsproj_sample.XML":                    -9.651366,
+		"full":                                 -8.398603,
+		"fully":                                -9.245901,
+		"function":                             -7.705456,
+		"function.":                            -8.552753,
+		"function=":                            -10.344513,
+		"functx":                               -9.651366,
+		"furniture":                            -9.651366,
+		"further":                              -9.651366,
+		"future":                               -9.651366,
+		"fx":                                   -9.651366,
+		"fxml":                                 -10.344513,
+		"g":                                    -7.779563,
+		"g/qcELLFPuOG":                         -10.344513,
+		"gAQRbCyADx":                           -10.344513,
+		"gBeWvCOlJdQ":                          -10.344513,
+		"gJ":                                   -10.344513,
+		"gJR":                                  -10.344513,
+		"gJsOyi":                               -10.344513,
+		"gJvgXzjufU":                           -10.344513,
+		"gK":                                   -10.344513,
+		"gKAc":                                 -10.344513,
+		"gKBTs":                                -10.344513,
+		"gLcIvGtBr/Efr":                        -10.344513,
+		"gLk":                                  -10.344513,
+		"gNggJuQQknMFqXFLyCF":                  -10.344513,
+		"gOQNdCby":                             -10.344513,
+		"gORHHCSD":                             -10.344513,
+		"gOcj/M":                               -10.344513,
+		"gOo":                                  -10.344513,
+		"gSQ":                                  -10.344513,
+		"gStr":                                 -10.344513,
+		"gTXyLisFvzcgoNjXY":                    -10.344513,
+		"gUOxSHbKs":                            -10.344513,
+		"gVQZRpeBiuro":                         -10.344513,
+		"gXyTF":                                -10.344513,
+		"gXzwynIh":                             -10.344513,
+		"gYOC":                                 -10.344513,
+		"gYRdjGR":                              -10.344513,
+		"gaGhqaGgYGGpoaBgaGBvbASSmpTgVRFWCpAZFiYMkGkRxgsKDAIGBBgMGRd": -10.344513,
+		"gaq":                                   -10.344513,
+		"gbcNHPLET":                             -10.344513,
+		"gbdoHLh":                               -10.344513,
+		"gcc":                                   -8.041928,
+		"geAlGBmEBM":                            -10.344513,
+		"generally":                             -9.651366,
+		"generate":                              -10.344513,
+		"generated":                             -9.651366,
+		"generation":                            -9.651366,
+		"generic":                               -9.245901,
+		"genre":                                 -10.344513,
+		"geography":                             -10.344513,
+		"geometry":                              -10.344513,
+		"getEffectTexture.gml":                  -10.344513,
+		"getEffectTextureAlpha.gml":             -10.344513,
+		"getLightId.gml":                        -10.344513,
+		"getTexture.gml":                        -10.344513,
+		"gets":                                  -10.344513,
+		"gfLYisADEpQE":                          -10.344513,
+		"ghRM/wHEaAExgRusPml":                   -10.344513,
+		"ghyJjzac":                              -10.344513,
+		"gif":                                   -10.344513,
+		"give":                                  -10.344513,
+		"given":                                 -7.705456,
+		"givenname":                             -10.344513,
+		"gkG":                                   -10.344513,
+		"glPrxXEmtGXYjdvOL":                     -10.344513,
+		"global":                                -10.344513,
+		"global._GMO_DEVICE_NAME":               -10.344513,
+		"global._GMO_DLL_VERSION":               -10.344513,
+		"gm":                                    -10.344513,
+		"gml":                                   -8.398603,
+		"gnHe":                                  -10.344513,
+		"gnZO":                                  -10.344513,
+		"gnkuXAgMcajhSEjMht":                    -10.344513,
+		"go":                                    -9.651366,
+		"goals":                                 -10.344513,
+		"going":                                 -8.958218,
+		"goods":                                 -10.344513,
+		"google":                                -9.651366,
+		"gothic":                                -10.344513,
+		"gp":                                    -10.344513,
+		"gprp":                                  -10.344513,
+		"gq":                                    -10.344513,
+		"gr":                                    -10.344513,
+		"grantshubsupport@cabinetoffice.gov.uk": -10.344513,
+		"graphic":                               -10.344513,
+		"grass_hires.png":                       -10.344513,
+		"gray":                                  -8.958218,
+		"green=":                                -10.344513,
+		"gro":                                   -10.344513,
+		"gt":                                    -7.209019,
+		"gtOv/":                                 -10.344513,
+		"gtZoVnT/":                              -10.344513,
+		"guarantees":                            -8.552753,
+		"gwx":                                   -10.344513,
+		"gxKCI":                                 -10.344513,
+		"gxyexwkSNtnJ":                          -10.344513,
+		"h":                                     -8.265071,
+		"hACgcdUQb":                             -10.344513,
+		"hBB":                                   -10.344513,
+		"hBW":                                   -10.344513,
+		"hCNtmwyOzKnII":                         -10.344513,
+		"hCnKggEuMlAkjovmDtMrIRKfK":             -10.344513,
+		"hEYpGVSCwSOYmsPVnZTF":                  -10.344513,
+		"hF":                                    -10.344513,
+		"hFEgzV":                                -10.344513,
+		"hFiLCTqEUBzj":                          -10.344513,
+		"hGdRf/Az":                              -10.344513,
+		"hGjpmujN":                              -10.344513,
+		"hH":                                    -10.344513,
+		"hHGEmDrXJaJlrQ":                        -10.344513,
+		"hLiKvQo/x":                             -10.344513,
+		"hMProfnAk":                             -10.344513,
+		"hNubMGfZkgAZq":                         -10.344513,
+		"hOxDNDqIZ":                             -10.344513,
+		"hPXfsJpR":                              -10.344513,
+		"hQiRqPNEe/sbLvX":                       -10.344513,
+		"hR":                                    -10.344513,
+		"hRmHuDNJL/fsgNr":                       -10.344513,
+		"hXRNJg/FVLrj/G":                        -10.344513,
+		"hZDHBNEJqHKM":                          -10.344513,
+		"hamburger=":                            -10.344513,
+		"hanging":                               -10.344513,
+		"has":                                   -7.299990,
+		"hasellus":                              -10.344513,
+		"have":                                  -7.253470,
+		"having":                                -10.344513,
+		"hay.png":                               -10.344513,
+		"hbbA":                                  -10.344513,
+		"hbhwSJi":                               -10.344513,
+		"hbt":                                   -10.344513,
+		"hd":                                    -10.344513,
+		"hdHYkpjrbGPOzk":                        -10.344513,
+		"head":                                  -8.147288,
+		"header":                                -8.552753,
+		"header=":                               -10.344513,
+		"header_":                               -9.651366,
+		"header_size_":                          -9.651366,
+		"headers":                               -10.344513,
+		"height":                                -7.705456,
+		"height=":                               -8.958218,
+		"height_":                               -10.344513,
+		"hello":                                 -10.344513,
+		"help":                                  -10.344513,
+		"help.rtf":                              -10.344513,
+		"helper":                                -8.735075,
+		"helps":                                 -10.344513,
+		"here":                                  -9.651366,
+		"here.":                                 -9.651366,
+		"heuristically":                         -10.344513,
+		"hfhTm":                                 -10.344513,
+		"hgXx":                                  -10.344513,
+		"hgfezwvcR":                             -10.344513,
+		"hh":                                    -10.344513,
+		"hhsTlIFkLQgjIHkd":                      -10.344513,
+		"high":                                  -10.344513,
+		"highly":                                -10.344513,
+		"hjAKkjOFLt":                            -10.344513,
+		"hkn":                                   -10.344513,
+		"hl7":                                   -10.344513,
+		"hlCCPFfN":                              -10.344513,
+		"hm":                                    -10.344513,
+		"hmUvNVOD":                              -10.344513,
+		"hmZ":                                   -10.344513,
+		"hoCt":                                  -10.344513,
+		"holder":                                -10.344513,
+		"holders":                               -10.344513,
+		"holobloc":                              -10.344513,
+		"home":                                  -10.344513,
+		"hood":                                  -10.344513,
+		"how":                                   -9.651366,
+		"however":                               -9.245901,
+		"hpj":                                   -10.344513,
+		"hpp":                                   -10.344513,
+		"hqq":                                   -10.344513,
+		"hr":                                    -10.344513,
+		"href":                                  -9.245901,
+		"href=":                                 -7.012308,
+		"hs":                                    -10.344513,
+		"ht":                                    -10.344513,
+		"html":                                  -8.147288,
+		"http":                                  -7.012308,
+		"https":                                 -9.651366,
+		"hufc":                                  -10.344513,
+		"human":                                 -9.651366,
+		"humanities":                            -10.344513,
+		"hundreds":                              -9.651366,
+		"hwL":                                   -10.344513,
+		"hxx":                                   -10.344513,
+		"hyLOKh":                                -10.344513,
+		"hyphen":                                -10.344513,
+		"i":                                     -8.041928,
+		"i.e.":                                  -7.209019,
+		"i/":                                    -10.344513,
+		"i//":                                   -10.344513,
+		"iAj":                                   -10.344513,
+		"iBSDSDaw":                              -10.344513,
+		"iD":                                    -10.344513,
+		"iEENEMEziIPMoooJHsGkSiMEHwCUpHgPRBGnQlcpcglY": -10.344513,
+		"iFiFSoMoG":                              -10.344513,
+		"iHZ":                                    -10.344513,
+		"iIdIYMGkvktSDLM":                        -10.344513,
+		"iIfguA":                                 -10.344513,
+		"iIqCZl":                                 -10.344513,
+		"iJ":                                     -10.344513,
+		"iJc":                                    -10.344513,
+		"iKKUUG":                                 -10.344513,
+		"iKqBHSaNNuW":                            -10.344513,
+		"iLcrZVmI":                               -10.344513,
+		"iOSBSAiI":                               -10.344513,
+		"iP":                                     -10.344513,
+		"iQIqkBiYXIM":                            -10.344513,
+		"iRNV":                                   -10.344513,
+		"iRx/T":                                  -10.344513,
+		"iTOQFMzsMROMAcKSU":                      -10.344513,
+		"iUyWRK":                                 -10.344513,
+		"iVBORw":                                 -6.943315,
+		"iVyA":                                   -10.344513,
+		"iWAqN":                                  -10.344513,
+		"iXMGkVaTOh":                             -10.344513,
+		"iYCQ/Wz":                                -10.344513,
+		"iYPD//rVZ/GsiszWS":                      -10.344513,
+		"ia":                                     -10.344513,
+		"ici":                                    -10.344513,
+		"ico":                                    -9.651366,
+		"id":                                     -6.473312,
+		"id=":                                    -4.923978,
+		"ideas":                                  -10.344513,
+		"ident=":                                 -4.863874,
+		"identical":                              -7.946618,
+		"identifier=":                            -9.651366,
+		"idl":                                    -10.344513,
+		"ie":                                     -10.344513,
+		"ies":                                    -10.344513,
+		"if":                                     -6.878777,
+		"ifXrUnXAoBo/RePsBmbc":                   -10.344513,
+		"ignored.":                               -10.344513,
+		"igpN":                                   -10.344513,
+		"iiyP":                                   -10.344513,
+		"ijs":                                    -10.344513,
+		"ikO":                                    -10.344513,
+		"ilGQmBabYvf":                            -10.344513,
+		"image":                                  -10.344513,
+		"img":                                    -9.651366,
+		"immediately":                            -9.245901,
+		"impaYVg":                                -10.344513,
+		"imperdiet":                              -10.344513,
+		"imperdiet.":                             -8.735075,
+		"impl_.body_":                            -8.958218,
+		"implement":                              -8.735075,
+		"implementing":                           -9.651366,
+		"implements":                             -8.265071,
+		"implied":                                -9.651366,
+		"important":                              -8.552753,
+		"imr":                                    -10.344513,
+		"in":                                     -5.780165,
+		"inCamera.gml":                           -10.344513,
+		"inc":                                    -10.344513,
+		"incidental":                             -10.344513,
+		"include":                                -10.344513,
+		"including":                              -8.958218,
+		"incorrectly":                            -10.344513,
+		"indent":                                 -10.344513,
+		"indicates":                              -10.344513,
+		"indirect":                               -10.344513,
+		"information":                            -10.344513,
+		"infrastructure":                         -10.344513,
+		"inherit":                                -9.651366,
+		"inheritsScope=":                         -10.344513,
+		"inheritsSet=":                           -10.344513,
+		"init":                                   -9.651366,
+		"initLights.gml":                         -10.344513,
+		"initial":                                -7.012308,
+		"initialize":                             -9.245901,
+		"initialize=":                            -9.651366,
+		"initialized":                            -9.651366,
+		"initio":                                 -10.344513,
+		"inl":                                    -10.344513,
+		"inline":                                 -9.651366,
+		"input":                                  -9.651366,
+		"inside":                                 -8.735075,
+		"instance":                               -8.552753,
+		"instanceId=":                            -8.958218,
+		"instead":                                -9.651366,
+		"int":                                    -8.735075,
+		"int_value_":                             -9.651366,
+		"integer":                                -9.245901,
+		"integration.":                           -10.344513,
+		"intend":                                 -10.344513,
+		"intended":                               -8.552753,
+		"intends":                                -10.344513,
+		"interface":                              -8.735075,
+		"internal":                               -10.344513,
+		"interoperability":                       -10.344513,
+		"interoperability.":                      -10.344513,
+		"interruption":                           -10.344513,
+		"interview":                              -10.344513,
+		"into":                                   -8.735075,
+		"introductory":                           -10.344513,
+		"invoke":                                 -8.958218,
+		"io":                                     -10.344513,
+		"ipb":                                    -10.344513,
+		"ipsum":                                  -10.344513,
+		"irrelevant.":                            -10.344513,
+		"is":                                     -5.307560,
+		"isTestSource=":                          -9.651366,
+		"isVZQ":                                  -10.344513,
+		"issue":                                  -9.651366,
+		"it":                                     -6.910526,
+		"it.":                                    -10.344513,
+		"itEsskgrxDVPRILeAXFl":                   -10.344513,
+		"itFzpEPXkhjb":                           -10.344513,
+		"itIs":                                   -10.344513,
+		"ital":                                   -10.344513,
+		"italic":                                 -6.733595,
+		"italics":                                -10.344513,
+		"itbfhQCPbC":                             -10.344513,
+		"item":                                   -7.400074,
+		"item.":                                  -9.245901,
+		"items":                                  -7.048676,
+		"its":                                    -8.398603,
+		"itself":                                 -9.651366,
+		"ixsxVCR":                                -10.344513,
+		"izFhgopAVMdnttHcq":                      -10.344513,
+		"izGTLxFiBZOWR":                          -10.344513,
+		"j":                                      -7.705456,
+		"j/INJbGZFfSy":                           -10.344513,
+		"jABzF":                                  -10.344513,
+		"jCfI":                                   -10.344513,
+		"jCm":                                    -10.344513,
+		"jCnpwduAPZMvaCz":                        -10.344513,
+		"jEOxvx":                                 -10.344513,
+		"jFMAiKb":                                -10.344513,
+		"jFmrGFBMPDk":                            -10.344513,
+		"jKdWQEqB":                               -10.344513,
+		"jNsBJyAhCyh":                            -10.344513,
+		"jO":                                     -9.651366,
+		"jOL":                                    -10.344513,
+		"jPwzYlj":                                -10.344513,
+		"jQBDtp":                                 -10.344513,
+		"jQyOTuLcaiNO":                           -10.344513,
+		"jTUTu":                                  -10.344513,
+		"jUBDsJwQGmhoaGpoWBhoGhgYGGp":            -10.344513,
+		"jVZuqtJtW":                              -10.344513,
+		"jVrBa":                                  -10.344513,
+		"jW":                                     -10.344513,
+		"jWCZK":                                  -10.344513,
+		"jWqnhRT":                                -10.344513,
+		"jYEIVdvQc":                              -10.344513,
+		"jYWUxHS":                                -10.344513,
+		"jZNiMqJJWVyt":                           -10.344513,
+		"janmPczUK":                              -10.344513,
+		"java":                                   -9.651366,
+		"javafx":                                 -8.958218,
+		"jbXuQNvAXFLFpzAmJ":                      -10.344513,
+		"jcI":                                    -10.344513,
+		"jdwPuATYmXfuUh":                         -10.344513,
+		"jeJgqoygWaekkQxbQOKOpnV":                -10.344513,
+		"jenitennison":                           -10.344513,
+		"jfRvPET/":                               -10.344513,
+		"jg":                                     -10.344513,
+		"jiW":                                    -10.344513,
+		"jj":                                     -10.344513,
+		"jjtmQvepmgE":                            -10.344513,
+		"jkDPNdf":                                -10.344513,
+		"jkMRwPARx":                              -10.344513,
+		"jki":                                    -10.344513,
+		"jl":                                     -10.344513,
+		"jlC":                                    -10.344513,
+		"jlGoXmA":                                -10.344513,
+		"jn":                                     -10.344513,
+		"join":                                   -10.344513,
+		"journal":                                -10.344513,
+		"jpe":                                    -10.344513,
+		"jpeg":                                   -10.344513,
+		"jpg":                                    -10.344513,
+		"jpgok":                                  -10.344513,
+		"jqLqOZUABlFViZ":                         -10.344513,
+		"jtWtWAWSBwWmVBG":                        -10.344513,
+		"juL":                                    -10.344513,
+		"jupMo":                                  -10.344513,
+		"just":                                   -10.344513,
+		"jvJWBrlVQSHWaIv":                        -10.344513,
+		"jvTWkt":                                 -10.344513,
+		"jw/":                                    -10.344513,
+		"jyk":                                    -10.344513,
+		"jzlQTFRN":                               -10.344513,
+		"k":                                      -8.041928,
+		"kAzhuUeg":                               -10.344513,
+		"kBsBRznisUr":                            -10.344513,
+		"kCiHfm/":                                -10.344513,
+		"kDBmaOqczXoXgTz":                        -10.344513,
+		"kES":                                    -10.344513,
+		"kFNlUBAQKQaRHBDJBpEcELDggMGBBQELSqbvza": -10.344513,
+		"kFovYp":                                 -10.344513,
+		"kHrNL":                                  -10.344513,
+		"kHxtHdYFCrvY":                           -10.344513,
+		"kKSw/ufSTdWakwceU":                      -10.344513,
+		"kL":                                     -10.344513,
+		"kLJV":                                   -10.344513,
+		"kMicrosecondsPerDay":                    -10.344513,
+		"kMicrosecondsPerHour":                   -10.344513,
+		"kMicrosecondsPerMillisecond":            -10.344513,
+		"kMicrosecondsPerMinute":                 -10.344513,
+		"kMicrosecondsPerSecond":                 -10.344513,
+		"kN":                                     -10.344513,
+		"kNAhVXLyYRIk":                           -10.344513,
+		"kOKvzMRmPhiRYF":                         -10.344513,
+		"kQ":                                     -9.651366,
+		"kQM":                                    -10.344513,
+		"kSvJGSvgTNN":                            -10.344513,
+		"kSyvA":                                  -10.344513,
+		"kU":                                     -10.344513,
+		"kXQWWLZmS":                              -10.344513,
+		"kXhXBjGP":                               -10.344513,
+		"kY":                                     -10.344513,
+		"kYkIJ":                                  -10.344513,
+		"kZ":                                     -10.344513,
+		"kZOzF":                                  -10.344513,
+		"kbexcB/AEhbiVW/ps":                      -10.344513,
+		"kc":                                     -10.344513,
+		"kcO":                                    -10.344513,
+		"kdBaoozyqKfnc":                          -10.344513,
+		"keep":                                   -10.344513,
+		"keepEnvironmentInBuildfile=":            -9.651366,
+		"key":                                    -7.454141,
+		"key=":                                   -6.337180,
+		"keyboard":                               -10.344513,
+		"keyboard_check_direct":                  -9.651366,
+		"keyword.":                               -9.651366,
+		"kghGyBgpzbVujW":                         -10.344513,
+		"kgqc":                                   -10.344513,
+		"kijXbzIc":                               -10.344513,
+		"kimU":                                   -10.344513,
+		"kind=":                                  -8.552753,
+		"kinds":                                  -10.344513,
+		"kirTZ":                                  -10.344513,
+		"kj":                                     -10.344513,
+		"kjvNgDwAErjxuj":                         -10.344513,
+		"kkqzhHnBjSmbROKYEbGWGEeado":             -10.344513,
+		"kl":                                     -10.344513,
+		"kmQEcgoTXRedObsY":                       -10.344513,
+		"knnkBYSnEADRwKS":                        -10.344513,
+		"koXabRrOTvvN":                           -10.344513,
+		"kq":                                     -10.344513,
+		"kqvhICCph":                              -10.344513,
+		"krCRZCQXDiY":                            -10.344513,
+		"ksEB":                                   -10.344513,
+		"ksWFrFIgWEcixhHAVXq":                    -10.344513,
+		"kt/":                                    -10.344513,
+		"ktlH":                                   -10.344513,
+		"kuYZVSOcdF":                             -10.344513,
+		"kvFNwPai":                               -10.344513,
+		"kvFTSSvIGnsQjiqTSIN":                    -10.344513,
+		"kxyKQEDqPERwBiPs/qvBZzU/tqaTmqNUUvNge":  -10.344513,
+		"kyz":                                    -10.344513,
+		"l":                                      -10.344513,
+		"lEI":                                    -10.344513,
+		"lFpSTpWMx":                              -10.344513,
+		"lIoAAAAASUVORK":                         -10.344513,
+		"label":                                  -8.552753,
+		"label=":                                 -9.651366,
+		"lang":                                   -9.245901,
+		"language":                               -9.651366,
+		"language=":                              -9.651366,
+		"laoreet.":                               -8.398603,
+		"large":                                  -10.344513,
+		"largely":                                -10.344513,
+		"larger":                                 -9.651366,
+		"last":                                   -7.454141,
+		"layout":                                 -10.344513,
+		"layoutMaster":                           -10.344513,
+		"leak":                                   -9.651366,
+		"learned":                                -10.344513,
+		"leave":                                  -8.041928,
+		"leaves.png":                             -10.344513,
+		"left":                                   -6.583313,
+		"left=":                                  -10.344513,
+		"leftbraced":                             -10.344513,
+		"legal_case":                             -10.344513,
+		"legislation":                            -10.344513,
+		"lengthdir_all_":                         -10.344513,
+		"lengthdir_x_":                           -10.344513,
+		"lengthdir_y_":                           -10.344513,
+		"lengthdir_z_":                           -10.344513,
+		"lengthdr":                               -10.344513,
+		"lessons":                                -10.344513,
+		"let":                                    -9.245901,
+		"letter":                                 -10.344513,
+		"letterspace":                            -10.344513,
+		"level":                                  -9.245901,
+		"level=":                                 -10.344513,
+		"liability":                              -9.651366,
+		"liable":                                 -10.344513,
+		"liberty":                                -10.344513,
+		"licence":                                -9.651366,
+		"licences.":                              -10.344513,
+		"license=":                               -10.344513,
+		"licensed":                               -9.651366,
+		"life":                                   -10.344513,
+		"like":                                   -8.958218,
+		"limit":                                  -8.735075,
+		"limited":                                -8.958218,
+		"line":                                   -7.511299,
+		"line=":                                  -7.571924,
+		"line_col.gml":                           -10.344513,
+		"linguistic":                             -9.651366,
+		"lining":                                 -10.344513,
+		"lining.":                                -10.344513,
+		"list":                                   -8.958218,
+		"list.":                                  -9.651366,
+		"list_":                                  -9.651366,
+		"listed":                                 -10.344513,
+		"listen":                                 -8.552753,
+		"lists":                                  -10.344513,
+		"literature":                             -10.344513,
+		"loadModels.gml":                         -10.344513,
+		"loadTextures.gml":                       -10.344513,
+		"loadable":                               -10.344513,
+		"loaded":                                 -9.245901,
+		"loader/saver":                           -10.344513,
+		"log":                                    -9.651366,
+		"logger":                                 -9.651366,
+		"logo":                                   -9.651366,
+		"long":                                   -9.651366,
+		"loosely":                                -9.651366,
+		"lorem":                                  -8.398603,
+		"loss":                                   -10.344513,
+		"love":                                   -10.344513,
+		"low":                                    -10.344513,
+		"ls":                                     -10.344513,
+		"lsCisjMp":                               -10.344513,
+		"lt":                                     -8.398603,
+		"m":                                      -6.760994,
+		"m.HasAttribute":                         -10.344513,
+		"m.NbLinesOfCode":                        -10.344513,
+		"m.SourceDecls.First":                    -10.344513,
+		"m.SourceFileDeclAvailable":              -10.344513,
+		"m/dYWSjAjmMqP":                          -10.344513,
+		"mCBQ":                                   -10.344513,
+		"mDcvV":                                  -10.344513,
+		"mDsBLacCLfUeY":                          -10.344513,
+		"mF":                                     -10.344513,
+		"mHASIhbAb":                              -10.344513,
+		"mIs":                                    -10.344513,
+		"mLhXm":                                  -10.344513,
+		"mLlW":                                   -10.344513,
+		"mMmt":                                   -10.344513,
+		"mNOAqbTRv":                              -10.344513,
+		"mNgGAWjYBSMglEwCkbBSAcACBAAAb":          -9.651366,
+		"mNgGKpgztI":                             -10.344513,
+		"mOrGkCBIUIROEIEiKICmCpAiSTiAqJhAT/Rfevu/BwUZGlv": -10.344513,
+		"mPFFyvT":                             -10.344513,
+		"mPJmBxKwbv/Wf":                       -10.344513,
+		"mQpkOVaxjNFzLdEXjiAtYtylP":           -10.344513,
+		"mRVjMZW":                             -10.344513,
+		"mRcVUPgpoAiK":                        -10.344513,
+		"mRjuDIAwYKcvUALAHhK":                 -10.344513,
+		"mTjRczSuoEKunY":                      -10.344513,
+		"mUjltWl":                             -10.344513,
+		"mVEhkMuWYdVeZGQTrtCZTDqz":            -10.344513,
+		"mVq":                                 -10.344513,
+		"mWvkr":                               -10.344513,
+		"mXe":                                 -10.344513,
+		"mYsgC":                               -10.344513,
+		"mYsgFDzUcpODGN":                      -10.344513,
+		"mZw":                                 -10.344513,
+		"machine":                             -10.344513,
+		"macro=":                              -5.367779,
+		"made":                                -9.651366,
+		"magazine":                            -10.344513,
+		"maintain":                            -10.344513,
+		"maintained":                          -10.344513,
+		"major":                               -10.344513,
+		"majors":                              -10.344513,
+		"make":                                -8.958218,
+		"making":                              -9.245901,
+		"manage":                              -10.344513,
+		"managed":                             -10.344513,
+		"managedBuildOn=":                     -9.651366,
+		"manipulating":                        -10.344513,
+		"manually":                            -8.958218,
+		"manuscript":                          -9.651366,
+		"many":                                -8.958218,
+		"mapping":                             -10.344513,
+		"maps":                                -10.344513,
+		"maqdQg":                              -10.344513,
+		"marg1":                               -10.344513,
+		"marg2":                               -10.344513,
+		"marg4":                               -10.344513,
+		"margin":                              -9.651366,
+		"markup":                              -10.344513,
+		"martin@refme.com":                    -10.344513,
+		"master":                              -10.344513,
+		"match=":                              -5.901862,
+		"matches":                             -10.344513,
+		"material":                            -10.344513,
+		"materials":                           -10.344513,
+		"mathematical":                        -9.651366,
+		"maxConcurrent":                       -10.344513,
+		"maxHeight=":                          -10.344513,
+		"maxOccurs=":                          -10.344513,
+		"maxPercentage=":                      -9.651366,
+		"maxPoints=":                          -9.651366,
+		"maxSelections=":                      -9.651366,
+		"maxWidth=":                           -10.344513,
+		"maximum":                             -9.651366,
+		"may":                                 -8.958218,
+		"mbQdVV":                              -10.344513,
+		"mcaB":                                -10.344513,
+		"me":                                  -9.651366,
+		"mean":                                -10.344513,
+		"means":                               -10.344513,
+		"media":                               -10.344513,
+		"meeting":                             -10.344513,
+		"meets":                               -10.344513,
+		"memoization":                         -9.651366,
+		"memoized":                            -10.344513,
+		"memoizes":                            -9.651366,
+		"memoizing":                           -9.651366,
+		"merchantability":                     -10.344513,
+		"message":                             -6.943315,
+		"message.":                            -10.344513,
+		"messages":                            -7.253470,
+		"met":                                 -10.344513,
+		"method":                              -6.818152,
+		"method.":                             -9.651366,
+		"methods.":                            -9.651366,
+		"metus":                               -8.398603,
+		"mf":                                  -10.344513,
+		"mfE":                                 -10.344513,
+		"mfcribbon":                           -10.344513,
+		"mfmtmVPvDj":                          -10.344513,
+		"microsoft":                           -9.245901,
+		"microsoft.net.object.binary.base":    -9.651366,
+		"microsoft.net.object.bytearray.base": -10.344513,
+		"microsoft.net.object.soap.base":      -10.344513,
+		"middle":                              -8.552753,
+		"mime":                                -9.651366,
+		"mimetype":                            -8.552753,
+		"mimetype.":                           -10.344513,
+		"mimetype=":                           -9.651366,
+		"min":                                 -7.636463,
+		"minHeight=":                          -10.344513,
+		"minOccurs=":                          -9.245901,
+		"minPercentage=":                      -9.651366,
+		"minPoints=":                          -9.651366,
+		"minRequiredRevision=":                -10.344513,
+		"minSelections=":                      -9.651366,
+		"minWidth=":                           -9.651366,
+		"mirror":                              -10.344513,
+		"misassigned":                         -10.344513,
+		"mix":                                 -9.245901,
+		"mjYCSq":                              -10.344513,
+		"mjml":                                -10.344513,
+		"mk":                                  -8.735075,
+		"mk/Ju/":                              -10.344513,
+		"mmEup":                               -10.344513,
+		"mml":                                 -10.344513,
+		"mnemonicParsing=":                    -10.344513,
+		"mock":                                -8.958218,
+		"mod_to_triList.gml":                  -10.344513,
+		"mode":                                -9.245901,
+		"mode=":                               -5.269339,
+		"model":                               -10.344513,
+		"modelclasses":                        -10.344513,
+		"modern":                              -9.245901,
+		"modes":                               -10.344513,
+		"modification":                        -10.344513,
+		"modifications":                       -10.344513,
+		"modify":                              -8.552753,
+		"module":                              -9.245901,
+		"module.":                             -10.344513,
+		"module.ant":                          -10.344513,
+		"module.ivy":                          -10.344513,
+		"module=":                             -8.735075,
+		"moduleId=":                           -7.705456,
+		"modules":                             -9.651366,
+		"monitor":                             -10.344513,
+		"monospace":                           -10.344513,
+		"morNcfuQpOwP":                        -10.344513,
+		"more":                                -7.454141,
+		"mostly":                              -10.344513,
+		"motion_picture":                      -8.958218,
+		"move":                                -10.344513,
+		"ms":                                  -10.344513,
+		"msdata":                              -8.147288,
+		"mt":                                  -10.344513,
+		"mtpvCfXPqb":                          -10.344513,
+		"mulberrytech":                        -9.651366,
+		"multiple":                            -8.552753,
+		"mus.":                                -9.651366,
+		"must":                                -8.147288,
+		"mvJ":                                 -10.344513,
+		"mvudofkgCyIzxffiNrPw":                -10.344513,
+		"mwStcxoPbkVQwvqsc":                   -10.344513,
+		"mxGwTR":                              -10.344513,
+		"mxfN":                                -10.344513,
+		"my":                                  -9.245901,
+		"myapp":                               -10.344513,
+		"myzJZL":                              -10.344513,
+		"n":                                   -7.454141,
+		"nASOA":                               -10.344513,
+		"nDJzCqtxgXfltp":                      -10.344513,
+		"nDe":                                 -10.344513,
+		"nDl":                                 -10.344513,
+		"nE":                                  -10.344513,
+		"nFZK":                                -10.344513,
+		"nHk":                                 -10.344513,
+		"nIoLz":                               -10.344513,
+		"nJtAuozQTDSFBnYc/EmgEBAyoxJnjITbn":   -10.344513,
+		"nLsCvvBvYXPk":                        -10.344513,
+		"nOHLwO":                              -10.344513,
+		"nOptV":                               -10.344513,
+		"nOqG":                                -10.344513,
+		"nPgJu":                               -10.344513,
+		"nQv":                                 -10.344513,
+		"nUNqOwhmGBOLoAQP":                    -10.344513,
+		"nVFIRWFrikloiwVhVQUuqLQioWuOBGxIuLE/gvfve": -10.344513,
+		"nVqbQf":                             -10.344513,
+		"nW":                                 -10.344513,
+		"nXLgCAQzfoSKInurCvZLpJ":             -10.344513,
+		"nYx":                                -10.344513,
+		"nZLn":                               -10.344513,
+		"nZqvYeuJG":                          -10.344513,
+		"name":                               -7.086416,
+		"name.":                              -10.344513,
+		"name/value":                         -10.344513,
+		"name=":                              -3.955951,
+		"named":                              -9.245901,
+		"nameend":                            -9.651366,
+		"namespace":                          -8.041928,
+		"namespace=":                         -9.651366,
+		"namest":                             -9.245901,
+		"naming":                             -10.344513,
+		"nbX":                                -10.344513,
+		"ncSFFESoQkcuSdbZyv":                 -10.344513,
+		"ncl":                                -9.651366,
+		"nd":                                 -10.344513,
+		"ndNa":                               -10.344513,
+		"ne":                                 -10.344513,
+		"nec":                                -10.344513,
+		"necessary":                          -10.344513,
+		"need":                               -7.705456,
+		"needed":                             -10.344513,
+		"needs":                              -9.651366,
+		"negligence":                         -10.344513,
+		"neither":                            -9.245901,
+		"net":                                -8.958218,
+		"netcore":                            -10.344513,
+		"netstandardapp":                     -10.344513,
+		"neutral":                            -8.958218,
+		"never":                              -8.735075,
+		"new":                                -7.779563,
+		"newLayoutMaster":                    -9.651366,
+		"newly":                              -9.651366,
+		"newsletter":                         -10.344513,
+		"newspaper":                          -10.344513,
+		"next":                               -10.344513,
+		"nimus":                              -9.651366,
+		"nivBN":                              -10.344513,
+		"nkL":                                -10.344513,
+		"nlRFQJAPW":                          -10.344513,
+		"nnKeQb":                             -10.344513,
+		"nnSFhgzOAZLqOZ":                     -10.344513,
+		"no":                                 -8.147288,
+		"node_modules":                       -10.344513,
+		"noeNhfo":                            -10.344513,
+		"non":                                -9.651366,
+		"none":                               -9.245901,
+		"noon":                               -10.344513,
+		"nor":                                -9.245901,
+		"normal":                             -8.041928,
+		"normalize":                          -8.958218,
+		"normally":                           -8.552753,
+		"normalstyle":                        -10.344513,
+		"normalweight":                       -10.344513,
+		"not":                                -6.943315,
+		"notation":                           -10.344513,
+		"nothing":                            -10.344513,
+		"notice":                             -9.651366,
+		"notification":                       -8.552753,
+		"notification.":                      -9.651366,
+		"notifications":                      -7.253470,
+		"notifications.":                     -8.735075,
+		"notify":                             -9.245901,
+		"notmycode":                          -9.651366,
+		"np":                                 -10.344513,
+		"nproj":                              -10.344513,
+		"nproj_sample":                       -9.651366,
+		"nr/CmVZNk":                          -10.344513,
+		"nrcV":                               -10.344513,
+		"ns":                                 -8.147288,
+		"nsJd":                               -10.344513,
+		"nu":                                 -10.344513,
+		"nuget":                              -10.344513,
+		"null":                               -8.398603,
+		"null.":                              -8.041928,
+		"nulla":                              -8.552753,
+		"number":                             -7.859606,
+		"number=":                            -8.398603,
+		"nvfB":                               -10.344513,
+		"nvzEiH":                             -10.344513,
+		"nvza":                               -10.344513,
+		"nx":                                 -10.344513,
+		"nxGn":                               -10.344513,
+		"nzebg":                              -10.344513,
+		"o":                                  -7.400074,
+		"oButj":                              -10.344513,
+		"oC":                                 -10.344513,
+		"oDDQNLDQMDTQMDDQNDAyqogOVUlApB":     -10.344513,
+		"oKM":                                -10.344513,
+		"oMEiFNNw":                           -10.344513,
+		"oMI":                                -10.344513,
+		"oNPYWvn":                            -10.344513,
+		"oQtb":                               -10.344513,
+		"oS":                                 -10.344513,
+		"oT":                                 -10.344513,
+		"oUPCRb":                             -10.344513,
+		"oYNQKb":                             -10.344513,
+		"oYnWJOEgKAR":                        -10.344513,
+		"oasis":                              -10.344513,
+		"obj":                                -9.245901,
+		"obj_":                               -9.651366,
+		"obj_bench":                          -10.344513,
+		"obj_camera":                         -10.344513,
+		"obj_camera.pitch":                   -10.344513,
+		"obj_camera.roll":                    -10.344513,
+		"obj_camera.x":                       -10.344513,
+		"obj_camera.y":                       -10.344513,
+		"obj_camera.yaw":                     -10.344513,
+		"obj_control":                        -10.344513,
+		"obj_fern":                           -10.344513,
+		"obj_fire":                           -10.344513,
+		"obj_fire_particle_emitter":          -10.344513,
+		"obj_fuzzies":                        -10.344513,
+		"obj_game_init":                      -10.344513,
+		"obj_grass":                          -10.344513,
+		"obj_house":                          -10.344513,
+		"obj_lantern":                        -10.344513,
+		"obj_master_outside_night":           -10.344513,
+		"obj_player":                         -10.344513,
+		"obj_player_eyes":                    -10.344513,
+		"obj_sky":                            -10.344513,
+		"obj_soldier":                        -10.344513,
+		"obj_tree":                           -10.344513,
+		"obj_woodstack":                      -10.344513,
+		"object":                             -6.494365,
+		"object.":                            -8.958218,
+		"objects":                            -7.086416,
+		"obmGePC":                            -10.344513,
+		"observe":                            -7.859606,
+		"observed":                           -10.344513,
+		"odl":                                -10.344513,
+		"of":                                 -5.367779,
+		"offer":                              -9.651366,
+		"often":                              -9.245901,
+		"og":                                 -10.344513,
+		"ogDWLJADAxmxSbCKVlXsZlUFh":          -10.344513,
+		"ogO":                                -10.344513,
+		"oi":                                 -10.344513,
+		"oi/aMR":                             -10.344513,
+		"ojuXnrUFOKwHgOG":                    -10.344513,
+		"okay":                               -8.735075,
+		"okkRiCdGPIF":                        -10.344513,
+		"oknEE":                              -10.344513,
+		"okyrolgPYAAAAASUVORK":               -10.344513,
+		"old":                                -10.344513,
+		"on":                                 -6.606843,
+		"on.":                                -8.552753,
+		"onChanged":                          -9.651366,
+		"onCreateOnly":                       -10.344513,
+		"onRelease":                          -10.344513,
+		"once":                               -8.958218,
+		"one":                                -6.878777,
+		"one.":                               -10.344513,
+		"ones":                               -9.651366,
+		"only":                               -7.348781,
+		"only.":                              -10.344513,
+		"onto":                               -10.344513,
+		"ontologies":                         -10.344513,
+		"ooEPB":                              -10.344513,
+		"op":                                 -10.344513,
+		"opaque=":                            -10.344513,
+		"opengis":                            -9.651366,
+		"operation":                          -9.651366,
+		"operation.":                         -10.344513,
+		"operations":                         -8.552753,
+		"optional":                           -9.651366,
+		"optionally":                         -9.651366,
+		"optionnal":                          -10.344513,
+		"options":                            -9.651366,
+		"or":                                 -5.890166,
+		"ord":                                -9.651366,
+		"order":                              -7.705456,
+		"org":                                -7.571924,
+		"org=":                               -9.651366,
+		"organisation=":                      -8.735075,
+		"orig":                               -9.245901,
+		"origin.":                            -10.344513,
+		"origin_.x_":                         -10.344513,
+		"origin_.y_":                         -10.344513,
+		"os=":                                -10.344513,
+		"other":                              -7.636463,
+		"others":                             -10.344513,
+		"otherwise":                          -9.651366,
+		"our":                                -9.651366,
+		"out":                                -8.735075,
+		"out.":                               -10.344513,
+		"outer":                              -10.344513,
+		"outgrow":                            -10.344513,
+		"output":                             -9.651366,
+		"output=":                            -8.552753,
+		"outsourcing":                        -10.344513,
+		"over":                               -9.245901,
+		"overload":                           -9.651366,
+		"override":                           -10.344513,
+		"own":                                -9.245901,
+		"ox":                                 -10.344513,
+		"oxford":                             -10.344513,
+		"oxim":                               -10.344513,
+		"oxojqHlnvBCWCN":                     -10.344513,
+		"oz":                                 -10.344513,
+		"p":                                  -7.166459,
+		"pAAAAAElFTkSuQmCC":                  -10.344513,
+		"pAeStjNjzDxip/KFyX":                 -10.344513,
+		"pD":                                 -10.344513,
+		"pDH":                                -10.344513,
+		"pE":                                 -10.344513,
+		"pFxff":                              -10.344513,
+		"pGRKyPXVlZWHeXEQc":                  -10.344513,
+		"pJXV":                               -10.344513,
+		"pKfJtL":                             -10.344513,
+		"pKq":                                -10.344513,
+		"pMNwZakBJHEq":                       -10.344513,
+		"pOgkpBo":                            -10.344513,
+		"pPEPJlUSZDMMBp":                     -10.344513,
+		"pRm":                                -10.344513,
+		"pTEPjObefdhf":                       -10.344513,
+		"pVgHNQxaMqHATdvm":                   -10.344513,
+		"pVp":                                -10.344513,
+		"pWbLT":                              -10.344513,
+		"pYM":                                -10.344513,
+		"pYb":                                -10.344513,
+		"package":                            -9.651366,
+		"packageName":                        -9.651366,
+		"padding":                            -5.076655,
+		"padding=":                           -6.432490,
+		"page":                               -10.344513,
+		"pageTop":                            -10.344513,
+		"pairs.":                             -10.344513,
+		"pamphlet":                           -9.651366,
+		"paper":                              -10.344513,
+		"parameter":                          -8.552753,
+		"parameter.":                         -10.344513,
+		"parameters":                         -10.344513,
+		"parameters.":                        -10.344513,
+		"parent":                             -8.398603,
+		"parent=":                            -9.651366,
+		"parsing":                            -10.344513,
+		"part":                               -9.651366,
+		"particle":                           -10.344513,
+		"particular":                         -8.735075,
+		"pass":                               -9.651366,
+		"passed":                             -10.344513,
+		"path":                               -10.344513,
+		"path.":                              -9.651366,
+		"paths":                              -8.735075,
+		"paths=":                             -8.958218,
+		"pattern=":                           -6.170126,
+		"pay":                                -10.344513,
+		"payments":                           -10.344513,
+		"pbH":                                -10.344513,
+		"pdbonly":                            -9.245901,
+		"pdtNd":                              -10.344513,
+		"pecQs":                              -10.344513,
+		"pede":                               -10.344513,
+		"per":                                -9.245901,
+		"performance":                        -10.344513,
+		"performs":                           -10.344513,
+		"permit":                             -9.651366,
+		"permitted":                          -10.344513,
+		"personal_communication":             -9.651366,
+		"phase":                              -10.344513,
+		"phases":                             -10.344513,
+		"philosophy":                         -10.344513,
+		"pifiGWz":                            -10.344513,
+		"pjHcxeJ/":                           -10.344513,
+		"place":                              -9.245901,
+		"placed":                             -10.344513,
+		"placeholderIdentifier=":             -9.651366,
+		"places":                             -10.344513,
+		"playerMouselook.gml":                -10.344513,
+		"playerMovement.gml":                 -10.344513,
+		"please":                             -10.344513,
+		"plug":                               -10.344513,
+		"plugin_state_location":              -6.789165,
+		"pn/":                                -10.344513,
+		"png":                                -8.265071,
+		"pockets":                            -10.344513,
+		"point":                              -10.344513,
+		"point=":                             -7.859606,
+		"points":                             -8.735075,
+		"policy":                             -8.735075,
+		"politics":                           -10.344513,
+		"polo":                               -10.344513,
+		"populate":                           -10.344513,
+		"populated":                          -8.958218,
+		"port=":                              -10.344513,
+		"possibility":                        -10.344513,
+		"possible":                           -9.245901,
+		"post":                               -9.651366,
+		"posted":                             -9.245901,
+		"potential":                          -9.651366,
+		"potentially":                        -9.651366,
+		"pre":                                -10.344513,
+		"precedes":                           -7.012308,
+		"preceding":                          -8.958218,
+		"predicate=":                         -6.081833,
+		"prefHeight=":                        -9.651366,
+		"prefWidth=":                         -8.552753,
+		"prefix":                             -8.265071,
+		"prefix=":                            -5.590923,
+		"prefixes":                           -8.958218,
+		"prescriptive":                       -9.245901,
+		"present":                            -9.651366,
+		"preserve":                           -10.344513,
+		"previous":                           -9.651366,
+		"primarily":                          -10.344513,
+		"primary":                            -10.344513,
+		"print":                              -10.344513,
+		"printed":                            -10.344513,
+		"private":                            -10.344513,
+		"problemReportingEnabled=":           -8.735075,
+		"process":                            -8.735075,
+		"processes":                          -10.344513,
+		"processing":                         -9.651366,
+		"processing.":                        -10.344513,
+		"procurement":                        -10.344513,
+		"produce":                            -10.344513,
+		"production":                         -10.344513,
+		"profile":                            -8.958218,
+		"profiles":                           -10.344513,
+		"profits":                            -10.344513,
+		"programmer":                         -10.344513,
+		"programmers":                        -10.344513,
+		"progress":                           -10.344513,
+		"project":                            -8.265071,
+		"project.":                           -10.344513,
+		"projectType=":                       -10.344513,
+		"project_name":                       -8.735075,
+		"projects":                           -9.651366,
+		"projects.":                          -10.344513,
+		"promise":                            -10.344513,
+		"prompt":                             -8.735075,
+		"properties":                         -6.977217,
+		"properties/methods":                 -10.344513,
+		"property":                           -6.040448,
+		"property.":                          -7.859606,
+		"property=":                          -10.344513,
+		"propertyAccessControl=":             -9.651366,
+		"props":                              -8.398603,
+		"prosopography":                      -10.344513,
+		"protocol=":                          -10.344513,
+		"prototype":                          -10.344513,
+		"provide":                            -9.245901,
+		"provided":                           -7.511299,
+		"provided.":                          -8.735075,
+		"provider":                           -10.344513,
+		"provides":                           -8.552753,
+		"providing":                          -7.348781,
+		"psWgUGHq":                           -10.344513,
+		"psgvkDGLIBYgAGKogIwjrm":             -10.344513,
+		"pt":                                 -7.946618,
+		"ptr_":                               -8.958218,
+		"public":                             -10.344513,
+		"public/New_Case_Create_Email_Alert": -10.344513,
+		"published":                          -10.344513,
+		"publisher":                          -10.344513,
+		"punctuation":                        -10.344513,
+		"purpose":                            -7.946618,
+		"purposes":                           -10.344513,
+		"put":                                -9.651366,
+		"pvIx":                               -10.344513,
+		"pvvueV":                             -10.344513,
+		"pw":                                 -10.344513,
+		"pwBJykGyBj":                         -10.344513,
+		"px":                                 -10.344513,
+		"pxomsm":                             -10.344513,
+		"q":                                  -8.265071,
+		"qA/EgvMogJryB":                      -10.344513,
+		"qAHAOigBoAFXio/":                    -10.344513,
+		"qEJ":                                -10.344513,
+		"qFBf":                               -10.344513,
+		"qG":                                 -10.344513,
+		"qGb":                                -10.344513,
+		"qGlLDYdBlTUVYjKtKKAqUAEhpPko/":      -10.344513,
+		"qH":                                 -10.344513,
+		"qJyq":                               -10.344513,
+		"qLzudCOhJjaxX":                      -10.344513,
+		"qO":                                 -10.344513,
+		"qOAAPvZJcvAoJlHnRz":                 -10.344513,
+		"qPSlq":                              -10.344513,
+		"qPi":                                -10.344513,
+		"qS":                                 -10.344513,
+		"qT":                                 -9.651366,
+		"qYVUvpmci":                          -10.344513,
+		"qYo":                                -10.344513,
+		"qbV":                                -10.344513,
+		"qck":                                -10.344513,
+		"qeJjZrFRUij/yfo//WhArI/vaKDhxbbRqqdgsSzyntmKGsoCgUjLVe": -10.344513,
+		"qgyvPZIgmA":                            -10.344513,
+		"qkr":                                   -10.344513,
+		"qnAcPbLZlMU":                           -10.344513,
+		"qname":                                 -7.636463,
+		"qoUMoHQL":                              -10.344513,
+		"quNAw":                                 -10.344513,
+		"quam":                                  -9.651366,
+		"queried":                               -10.344513,
+		"query":                                 -8.958218,
+		"query=":                                -10.344513,
+		"queryBinding=":                         -9.651366,
+		"querying":                              -10.344513,
+		"queued":                                -10.344513,
+		"queues":                                -9.651366,
+		"quis":                                  -8.735075,
+		"quite":                                 -9.651366,
+		"quot":                                  -6.943315,
+		"quote":                                 -10.344513,
+		"quotes=":                               -7.779563,
+		"qw":                                    -10.344513,
+		"qwHDYutqtRtrqSnkim":                    -10.344513,
+		"qwl":                                   -10.344513,
+		"qySPGyjyEAhXMzBiHy":                    -10.344513,
+		"qznsmlVeCKxv":                          -10.344513,
+		"r":                                     -7.779563,
+		"r/kEfQ":                                -10.344513,
+		"rAczoRMDBZ":                            -10.344513,
+		"rBgCuDrl":                              -10.344513,
+		"rCN":                                   -10.344513,
+		"rEB/F":                                 -10.344513,
+		"rEsbvcrETybvIJ":                        -10.344513,
+		"rGKA":                                  -10.344513,
+		"rGlvf":                                 -10.344513,
+		"rIIABjc":                               -10.344513,
+		"rLUQxX":                                -10.344513,
+		"rQS":                                   -10.344513,
+		"rRWAMJ":                                -10.344513,
+		"rTYa":                                  -10.344513,
+		"rTcj":                                  -10.344513,
+		"rUv":                                   -10.344513,
+		"rWWlUHFVjgjXbPN":                       -10.344513,
+		"rXB":                                   -10.344513,
+		"rYZ":                                   -10.344513,
+		"rZb":                                   -10.344513,
+		"raKlM":                                 -10.344513,
+		"raTSFuB":                               -10.344513,
+		"raWbyOOyl":                             -10.344513,
+		"radius":                                -7.705456,
+		"raise":                                 -9.651366,
+		"raiseAndSetIfChanged":                  -10.344513,
+		"raisePropertyChanging":                 -8.958218,
+		"raised":                                -10.344513,
+		"range":                                 -9.245901,
+		"rather":                                -10.344513,
+		"ray_coll.gml":                          -10.344513,
+		"rbS/gvtaNtGV":                          -10.344513,
+		"rc":                                    -9.651366,
+		"rct":                                   -10.344513,
+		"rdp":                                   -10.344513,
+		"re":                                    -10.344513,
+		"reWzJOQKiAxGKlVMKNauZ":                 -10.344513,
+		"reached":                               -9.651366,
+		"read":                                  -8.958218,
+		"readable":                              -10.344513,
+		"reader":                                -10.344513,
+		"realestate":                            -9.651366,
+		"reason":                                -10.344513,
+		"reasons":                               -10.344513,
+		"rebroadcast":                           -9.651366,
+		"receives":                              -10.344513,
+		"recently":                              -9.245901,
+		"recipe":                                -10.344513,
+		"recommending":                          -10.344513,
+		"rect1":                                 -10.344513,
+		"rect2":                                 -10.344513,
+		"rect3":                                 -10.344513,
+		"rect4":                                 -9.651366,
+		"rect5":                                 -10.344513,
+		"red":                                   -9.651366,
+		"red=":                                  -10.344513,
+		"reenables":                             -9.245901,
+		"ref=":                                  -9.245901,
+		"ref_count_":                            -8.958218,
+		"reflection":                            -10.344513,
+		"reg":                                   -8.958218,
+		"regardless":                            -9.651366,
+		"region=":                               -9.245901,
+		"registered":                            -10.344513,
+		"registered.":                           -9.651366,
+		"rel=":                                  -9.651366,
+		"relativeNode":                          -9.651366,
+		"relatively":                            -10.344513,
+		"reliably.":                             -10.344513,
+		"religion":                              -10.344513,
+		"remotely":                              -10.344513,
+		"removed":                               -8.958218,
+		"removed.":                              -8.958218,
+		"rend=":                                 -8.398603,
+		"rendered":                              -10.344513,
+		"rendition":                             -8.958218,
+		"repeat":                                -8.958218,
+		"replace":                               -10.344513,
+		"replaces":                              -10.344513,
+		"report":                                -10.344513,
+		"repository=":                           -10.344513,
+		"repositoryId=":                         -10.344513,
+		"representation":                        -9.651366,
+		"representing":                          -7.299990,
+		"represents":                            -8.958218,
+		"reproduce":                             -10.344513,
+		"request":                               -9.245901,
+		"requested":                             -10.344513,
+		"requests":                              -8.958218,
+		"requests.":                             -9.651366,
+		"required":                              -9.651366,
+		"reserved.":                             -10.344513,
+		"resheader":                             -10.344513,
+		"resourcePath=":                         -9.651366,
+		"respective":                            -10.344513,
+		"response":                              -9.651366,
+		"rest.":                                 -9.651366,
+		"result":                                -9.245901,
+		"result.":                               -9.651366,
+		"resulting":                             -10.344513,
+		"results":                               -8.147288,
+		"resx":                                  -8.958218,
+		"retain":                                -10.344513,
+		"retrieve":                              -9.245901,
+		"return":                                -7.454141,
+		"returned":                              -9.651366,
+		"returned.":                             -9.651366,
+		"returning":                             -10.344513,
+		"returns":                               -8.735075,
+		"rev=":                                  -9.651366,
+		"review":                                -8.735075,
+		"revision":                              -10.344513,
+		"revision=":                             -8.265071,
+		"rf":                                    -9.651366,
+		"rgb":                                   -8.147288,
+		"rgs":                                   -10.344513,
+		"rh":                                    -10.344513,
+		"riTUQIzNntZzjlMxxzn":                   -10.344513,
+		"richness":                              -10.344513,
+		"ridiculus":                             -9.651366,
+		"rifoq":                                 -10.344513,
+		"right":                                 -6.560323,
+		"right=":                                -10.344513,
+		"rightbraced":                           -10.344513,
+		"rights":                                -10.344513,
+		"riwFzpHDi":                             -10.344513,
+		"rj":                                    -10.344513,
+		"rjos":                                  -10.344513,
+		"rmPg":                                  -10.344513,
+		"rm_fps":                                -10.344513,
+		"rnD/NFCxuaQAv":                         -10.344513,
+		"ro":                                    -10.344513,
+		"role=":                                 -7.859606,
+		"roman":                                 -9.245901,
+		"roof.d":                                -10.344513,
+		"roof.png":                              -10.344513,
+		"room_menu":                             -10.344513,
+		"rooms":                                 -9.651366,
+		"rotate":                                -8.958218,
+		"rotateClockwise":                       -10.344513,
+		"rotateCounterclockwise":                -10.344513,
+		"rotateleft":                            -10.344513,
+		"rotateright":                           -10.344513,
+		"routing":                               -9.651366,
+		"row":                                   -8.147288,
+		"rowno":                                 -10.344513,
+		"rows":                                  -10.344513,
+		"rq":                                    -10.344513,
+		"rqO":                                   -10.344513,
+		"rqR":                                   -10.344513,
+		"rrVW/pWaoZNrLcjfGqhC":                  -10.344513,
+		"rtg":                                   -10.344513,
+		"rtsQ":                                  -10.344513,
+		"ru":                                    -10.344513,
+		"rule":                                  -10.344513,
+		"rule.":                                 -10.344513,
+		"rules":                                 -10.344513,
+		"run":                                   -8.398603,
+		"running":                               -8.958218,
+		"running.":                              -10.344513,
+		"rutrum":                                -10.344513,
+		"rutrum.":                               -8.552753,
+		"rvAL":                                  -10.344513,
+		"rwmFqUu":                               -10.344513,
+		"rwxuMP":                                -10.344513,
+		"rxEc":                                  -10.344513,
+		"rz":                                    -9.651366,
+		"s":                                     -7.209019,
+		"s.SourceFile.FilePath":                 -10.344513,
+		"s/TIlQWbYgA":                           -10.344513,
+		"sD":                                    -10.344513,
+		"sDed":                                  -10.344513,
+		"sE/E":                                  -10.344513,
+		"sF":                                    -10.344513,
+		"sGc":                                   -10.344513,
+		"sHc":                                   -10.344513,
+		"sIDZ":                                  -10.344513,
+		"sLT":                                   -10.344513,
+		"sN":                                    -10.344513,
+		"sNWxBKP":                               -10.344513,
+		"sO":                                    -10.344513,
+		"sRLV/ty":                               -10.344513,
+		"sYcUR":                                 -10.344513,
+		"salve":                                 -9.651366,
+		"same":                                  -8.265071,
+		"sample":                                -8.552753,
+		"sample.xml":                            -9.651366,
+		"sans":                                  -8.041928,
+		"satisfies":                             -8.735075,
+		"save":                                  -9.245901,
+		"say":                                   -10.344513,
+		"sc":                                    -10.344513,
+		"scenarios":                             -8.958218,
+		"scene":                                 -9.651366,
+		"schedule":                              -9.651366,
+		"scheduler":                             -7.946618,
+		"schema":                                -8.735075,
+		"schemaLocation":                        -10.344513,
+		"schemaVersion=":                        -9.651366,
+		"schemas":                               -9.245901,
+		"schematypens=":                         -10.344513,
+		"scheme=":                               -8.398603,
+		"science":                               -10.344513,
+		"scope":                                 -9.651366,
+		"scope=":                                -7.048676,
+		"scripts":                               -5.975065,
+		"sdESBxYyAQhH":                          -10.344513,
+		"sdk":                                   -10.344513,
+		"sdkextension":                          -10.344513,
+		"section":                               -10.344513,
+		"see":                                   -8.735075,
+		"seems":                                 -10.344513,
+		"select":                                -9.245901,
+		"select=":                               -6.606843,
+		"selectedProfileId=":                    -8.735075,
+		"selector":                              -8.735075,
+		"selector.":                             -9.651366,
+		"selectors":                             -9.651366,
+		"self":                                  -8.735075,
+		"semantically":                          -9.245901,
+		"send":                                  -9.245901,
+		"send.":                                 -8.958218,
+		"sending":                               -9.651366,
+		"sense":                                 -10.344513,
+		"sense.":                                -10.344513,
+		"sent":                                  -9.651366,
+		"ser":                                   -10.344513,
+		"serialized":                            -8.552753,
+		"serif":                                 -8.041928,
+		"server":                                -9.651366,
+		"server.":                               -9.651366,
+		"service":                               -10.344513,
+		"serviceName=":                          -9.651366,
+		"services":                              -9.245901,
+		"set":                                   -6.412687,
+		"set.":                                  -8.958218,
+		"setGeneralLight.gml":                   -10.344513,
+		"setting":                               -8.958218,
+		"settings":                              -10.344513,
+		"setup.":                                -7.859606,
+		"several":                               -10.344513,
+		"sfTQAIYjwupvXUhvRjOBwEXY":              -10.344513,
+		"sg":                                    -10.344513,
+		"sgL":                                   -10.344513,
+		"sgM":                                   -10.344513,
+		"sgatIDAFhaSXctxLkRoKjfV":               -10.344513,
+		"shN":                                   -10.344513,
+		"shaders":                               -9.651366,
+		"shall":                                 -10.344513,
+		"share":                                 -9.651366,
+		"shipping":                              -10.344513,
+		"shirt":                                 -10.344513,
+		"shoes":                                 -10.344513,
+		"shorts":                                -10.344513,
+		"should":                                -7.705456,
+		"show":                                  -10.344513,
+		"sibling":                               -8.958218,
+		"sic":                                   -9.651366,
+		"side":                                  -10.344513,
+		"similar":                               -8.265071,
+		"similarly":                             -10.344513,
+		"simple":                                -8.265071,
+		"simplechanges":                         -10.344513,
+		"simpleelementspm":                      -10.344513,
+		"simpler":                               -10.344513,
+		"simplify":                              -10.344513,
+		"single":                                -9.245901,
+		"sit":                                   -9.651366,
+		"size":                                  -6.452693,
+		"size_.height_":                         -10.344513,
+		"size_.width_":                          -10.344513,
+		"skos":                                  -9.651366,
+		"sleSGS":                                -10.344513,
+		"slot":                                  -10.344513,
+		"small":                                 -9.245901,
+		"smallCap":                              -10.344513,
+		"smallcaps":                             -10.344513,
+		"smaller":                               -8.735075,
+		"smoke_":                                -10.344513,
+		"snd_birds":                             -10.344513,
+		"snd_crickets":                          -10.344513,
+		"snd_fire":                              -10.344513,
+		"snd_rain":                              -10.344513,
+		"so":                                    -9.651366,
+		"so.":                                   -10.344513,
+		"software":                              -9.245901,
+		"soldier_wip.d":                         -9.651366,
+		"solid":                                 -10.344513,
+		"some":                                  -8.735075,
+		"song":                                  -10.344513,
+		"sort":                                  -7.779563,
+		"sound":                                 -8.958218,
+		"source":                                -7.166459,
+		"source.extension.vsixmanifest":         -10.344513,
+		"sourceFilesPathsToDiscard":             -10.344513,
+		"sourceFilesPathsToDiscard.Contains":    -10.344513,
+		"sourcedoc":                             -10.344513,
+		"spAyr":                                 -10.344513,
+		"space":                                 -8.735075,
+		"space_.data_":                          -9.651366,
+		"spaceletter":                           -10.344513,
+		"spacing":                               -10.344513,
+		"spacing=":                              -10.344513,
+		"spamming":                              -9.651366,
+		"spec_":                                 -10.344513,
+		"special":                               -10.344513,
+		"specialist":                            -10.344513,
+		"specific":                              -7.946618,
+		"specified":                             -8.398603,
+		"specifies":                             -10.344513,
+		"specify":                               -10.344513,
+		"specs":                                 -7.348781,
+		"specs_file":                            -7.636463,
+		"speech":                                -9.651366,
+		"spr_angle":                             -10.344513,
+		"spr_cam":                               -10.344513,
+		"spr_fern":                              -10.344513,
+		"spr_fireicon":                          -10.344513,
+		"spr_house":                             -10.344513,
+		"spr_lantern":                           -10.344513,
+		"spr_logo":                              -10.344513,
+		"spr_master_outside_night":              -10.344513,
+		"spr_player":                            -10.344513,
+		"spr_rectangle":                         -10.344513,
+		"spr_tallgrass":                         -10.344513,
+		"spr_tree":                              -10.344513,
+		"sprites":                               -7.779563,
+		"srKyticWeuJga":                         -10.344513,
+		"src":                                   -10.344513,
+		"src=":                                  -6.878777,
+		"srsName=":                              -9.651366,
+		"sryoWq":                                -10.344513,
+		"ssxBx":                                 -10.344513,
+		"standalone=":                           -8.735075,
+		"standard":                              -10.344513,
+		"standardized":                          -10.344513,
+		"start":                                 -9.651366,
+		"start=":                                -10.344513,
+		"starts":                                -8.735075,
+		"startup.":                              -10.344513,
+		"status":                                -10.344513,
+		"status=":                               -10.344513,
+		"step":                                  -10.344513,
+		"steps":                                 -10.344513,
+		"still":                                 -9.651366,
+		"storage":                               -10.344513,
+		"storage_.value_":                       -10.344513,
+		"storage_type_id=":                      -10.344513,
+		"store":                                 -10.344513,
+		"stored":                                -10.344513,
+		"stream":                                -8.398603,
+		"stream.":                               -9.245901,
+		"strict":                                -10.344513,
+		"strikethrough":                         -10.344513,
+		"string":                                -6.943315,
+		"string_value_":                         -9.651366,
+		"strong":                                -10.344513,
+		"structure":                             -10.344513,
+		"style":                                 -6.537850,
+		"style=":                                -7.125637,
+		"stylesheets":                           -10.344513,
+		"su":                                    -10.344513,
+		"sub":                                   -10.344513,
+		"subscribed":                            -9.651366,
+		"subscribing":                           -10.344513,
+		"subscript":                             -10.344513,
+		"subsequent":                            -9.245901,
+		"subset":                                -9.245901,
+		"substitute":                            -9.245901,
+		"substring":                             -9.245901,
+		"subtle":                                -9.651366,
+		"success":                               -10.344513,
+		"successful":                            -10.344513,
+		"such":                                  -8.398603,
+		"suffice.":                              -10.344513,
+		"suffix=":                               -4.455635,
+		"suit":                                  -10.344513,
+		"suited":                                -10.344513,
+		"sum":                                   -9.651366,
+		"sup":                                   -10.344513,
+		"super":                                 -9.651366,
+		"superClass=":                           -6.606843,
+		"superscript":                           -10.344513,
+		"support":                               -9.245901,
+		"supralinear":                           -10.344513,
+		"svGZRjkHFBSejevtuU":                    -10.344513,
+		"svenhaustein.de":                       -10.344513,
+		"swIs":                                  -10.344513,
+		"sx":                                    -10.344513,
+		"sxAMnoPtuMYiCAiSQQlCCdpPaTIKLxXxOSIdr": -10.344513,
+		"symbols":                               -8.958218,
+		"synchronous":                           -10.344513,
+		"systemVersion=":                        -9.651366,
+		"szGJbCfSALeJCRjSKmgOQncAKL":            -10.344513,
+		"t":                                     -7.348781,
+		"t/mx":                                  -10.344513,
+		"tAAAAAElFTkSuQmCC":                     -10.344513,
+		"tBpzgrJ":                               -10.344513,
+		"tBynmiZI":                              -10.344513,
+		"tEi":                                   -10.344513,
+		"tGftufJlD":                             -10.344513,
+		"tIiqseI":                               -10.344513,
+		"tK":                                    -10.344513,
+		"tKDIYkMMwBY":                           -10.344513,
+		"tLQbjMAAAAASUVORK":                     -10.344513,
+		"tMC":                                   -10.344513,
+		"tMq":                                   -10.344513,
+		"tN":                                    -10.344513,
+		"tQi":                                   -10.344513,
+		"tRBmAil":                               -10.344513,
+		"tRSe/qqWflbLuzXu":                      -10.344513,
+		"tTHdUJew":                              -10.344513,
+		"tUf":                                   -10.344513,
+		"tUmk":                                  -10.344513,
+		"tVUr":                                  -9.245901,
+		"tVVLU/DUBTlp":                          -10.344513,
+		"tVVLW/CUBRFIpGVWGRlZW":                 -10.344513,
+		"tVVLW/jQBTsT":                          -10.344513,
+		"tVVLY/iUBSdn":                          -10.344513,
+		"tVVLYvDQBBduTJy":                       -10.344513,
+		"tVVoW":                                 -9.245901,
+		"tVVq":                                  -10.344513,
+		"tVVr":                                  -9.245901,
+		"tVVrW/bQBTvnzBYGDpoaBgaaGhoaHrw":       -10.344513,
+		"tVVsWrDMBDNp":                          -10.344513,
+		"tVdvPg":                                -10.344513,
+		"tVlSJgNd":                              -10.344513,
+		"tWVoW/qUBjF":                           -10.344513,
+		"tWVr":                                  -8.958218,
+		"tWVrW/bUBTF":                           -10.344513,
+		"tWVrW/jQBDFCwsPFi":                     -10.344513,
+		"tWVrY/bQBDF":                           -10.344513,
+		"tWVrY/bQBTEAwMNDU":                     -10.344513,
+		"tWft":                                  -10.344513,
+		"tWx":                                   -10.344513,
+		"tX":                                    -10.344513,
+		"tYnGU":                                 -10.344513,
+		"table":                                 -9.245901,
+		"tablefoot":                             -10.344513,
+		"tagged":                                -10.344513,
+		"tagging":                               -10.344513,
+		"take":                                  -9.245901,
+		"taken":                                 -10.344513,
+		"takes":                                 -10.344513,
+		"tank":                                  -10.344513,
+		"target":                                -8.265071,
+		"target.":                               -10.344513,
+		"target.property":                       -10.344513,
+		"target=":                               -7.571924,
+		"targetRuntime=":                        -9.651366,
+		"targets":                               -7.511299,
+		"task":                                  -8.552753,
+		"tcygiDPzeuBDCuR":                       -10.344513,
+		"technology":                            -10.344513,
+		"tei":                                   -7.779563,
+		"teiCorpus":                             -10.344513,
+		"tells":                                 -10.344513,
+		"tellus":                                -10.344513,
+		"tellus.":                               -8.958218,
+		"temp":                                  -10.344513,
+		"templates":                             -7.511299,
+		"temporary":                             -10.344513,
+		"term=":                                 -8.147288,
+		"test":                                  -8.265071,
+		"test=":                                 -6.583313,
+		"tests":                                 -10.344513,
+		"tests.":                                -10.344513,
+		"tex_arrow":                             -10.344513,
+		"tex_sky":                               -10.344513,
+		"tex_sky_day":                           -10.344513,
+		"tex_test":                              -10.344513,
+		"text":                                  -5.780165,
+		"text.":                                 -10.344513,
+		"text/microsoft":                        -9.651366,
+		"text/value":                            -10.344513,
+		"text=":                                 -8.958218,
+		"texts":                                 -8.265071,
+		"texts.":                                -10.344513,
+		"tgroup":                                -7.859606,
+		"th":                                    -9.245901,
+		"thGodg":                                -10.344513,
+		"than":                                  -8.552753,
+		"that":                                  -5.626014,
+		"the":                                   -4.495188,
+		"their":                                 -9.651366,
+		"them":                                  -9.245901,
+		"then":                                  -7.859606,
+		"theory":                                -10.344513,
+		"there":                                 -9.651366,
+		"therein":                               -10.344513,
+		"these":                                 -9.651366,
+		"they":                                  -8.958218,
+		"think":                                 -9.651366,
+		"this":                                  -5.801218,
+		"those":                                 -9.651366,
+		"thread.":                               -9.245901,
+		"through":                               -8.398603,
+		"thrown":                                -10.344513,
+		"tif":                                   -10.344513,
+		"tiff":                                  -10.344513,
+		"time":                                  -8.958218,
+		"times.":                                -8.958218,
+		"title":                                 -6.789165,
+		"tj":                                    -10.344513,
+		"tjNvuvr":                               -10.344513,
+		"tkzEghoAYPYjElZX":                      -10.344513,
+		"tmZ":                                   -10.344513,
+		"tnyQ/qufk":                             -10.344513,
+		"to":                                    -5.011794,
+		"to.":                                   -8.398603,
+		"tokenize":                              -9.245901,
+		"tomorrow":                              -10.344513,
+		"too":                                   -10.344513,
+		"toolsVersion=":                         -9.651366,
+		"top":                                   -6.233639,
+		"top=":                                  -9.651366,
+		"topbraced":                             -10.344513,
+		"tort":                                  -10.344513,
+		"total":                                 -9.651366,
+		"tp":                                    -10.344513,
+		"tpGAR":                                 -10.344513,
+		"tpKi/TV":                               -10.344513,
+		"tpl":                                   -9.651366,
+		"traditional":                           -9.245901,
+		"trans.":                                -10.344513,
+		"transcr":                               -10.344513,
+		"transcription":                         -10.344513,
+		"transcriptional":                       -10.344513,
+		"transform":                             -8.735075,
+		"translator":                            -10.344513,
+		"travelling":                            -10.344513,
+		"traversal":                             -9.651366,
+		"treat":                                 -10.344513,
+		"tree_leaves.d":                         -10.344513,
+		"tree_trunk.d":                          -10.344513,
+		"true":                                  -6.606843,
+		"tu":                                    -10.344513,
+		"tuhTTjf":                               -10.344513,
+		"tutorial":                              -10.344513,
+		"tv":                                    -10.344513,
+		"twitter":                               -9.651366,
+		"two":                                   -9.651366,
+		"tx":                                    -10.344513,
+		"tyF":                                   -10.344513,
+		"type":                                  -7.086416,
+		"type.":                                 -9.245901,
+		"type=":                                 -5.913696,
+		"type_":                                 -10.344513,
+		"typed":                                 -9.651366,
+		"types":                                 -7.859606,
+		"typewriter":                            -10.344513,
+		"typically":                             -10.344513,
+		"u":                                     -9.651366,
+		"uCGWWFMx":                              -10.344513,
+		"uDQqZH":                                -10.344513,
+		"uOdBctgHB":                             -10.344513,
+		"uc":                                    -10.344513,
+		"ultricies":                             -9.651366,
+		"uncomment":                             -8.735075,
+		"undeclared":                            -10.344513,
+		"undefined":                             -9.245901,
+		"under":                                 -9.245901,
+		"underline":                             -8.958218,
+		"understood":                            -10.344513,
+		"undertaken":                            -10.344513,
+		"unenroll":                              -10.344513,
+		"unfiled":                               -10.344513,
+		"unique":                                -7.859606,
+		"unit":                                  -8.265071,
+		"unless":                                -10.344513,
+		"unlike":                                -7.779563,
+		"unpredictable.":                        -10.344513,
+		"unprefixed":                            -10.344513,
+		"until":                                 -8.398603,
+		"up":                                    -7.125637,
+		"updateAudioListener.gml":               -10.344513,
+		"updated":                               -10.344513,
+		"updated.":                              -10.344513,
+		"upon":                                  -10.344513,
+		"upper":                                 -10.344513,
+		"uppercase":                             -10.344513,
+		"uri":                                   -8.735075,
+		"uri=":                                  -7.779563,
+		"url":                                   -8.958218,
+		"url=":                                  -9.245901,
+		"urn":                                   -9.651366,
+		"usUygMoCw":                             -10.344513,
+		"usage":                                 -10.344513,
+		"usages":                                -10.344513,
+		"use":                                   -7.048676,
+		"use=":                                  -10.344513,
+		"useAutolayout=":                        -9.651366,
+		"useDefault=":                           -6.655633,
+		"useSourceRendition=":                   -8.041928,
+		"useTraitCollections=":                  -9.651366,
+		"used":                                  -7.253470,
+		"useful":                                -9.651366,
+		"user":                                  -8.958218,
+		"userLabel=":                            -9.651366,
+		"users":                                 -9.245901,
+		"users.":                                -9.651366,
+		"uses":                                  -9.651366,
+		"using":                                 -7.859606,
+		"usually":                               -10.344513,
+		"ut":                                    -8.398603,
+		"util":                                  -9.651366,
+		"uuid":                                  -9.651366,
+		"ux":                                    -8.147288,
+		"v":                                     -6.284070,
+		"v/":                                    -9.651366,
+		"v/WeP":                                 -10.344513,
+		"v3":                                    -10.344513,
+		"v4":                                    -10.344513,
+		"vA":                                    -10.344513,
+		"vAXxPEe":                               -10.344513,
+		"vAwaQJ":                                -10.344513,
+		"vCbChH":                                -10.344513,
+		"vGNxeEilWMVSVSM":                       -10.344513,
+		"vGTAulhguppjof":                        -10.344513,
+		"vH":                                    -10.344513,
+		"vHlxrq":                                -10.344513,
+		"vI":                                    -10.344513,
+		"vIEt/cM":                               -10.344513,
+		"vIwLBI":                                -10.344513,
+		"vKYSA":                                 -10.344513,
+		"vM":                                    -10.344513,
+		"vMYWA/O":                               -10.344513,
+		"vMsUnNiwaZQ":                           -10.344513,
+		"vOu":                                   -10.344513,
+		"vPMkEZ":                                -10.344513,
+		"vRtiqctC":                              -10.344513,
+		"vT":                                    -10.344513,
+		"vUsDiKsHQjCCQga":                       -10.344513,
+		"vVSsiZ":                                -10.344513,
+		"vXfz":                                  -10.344513,
+		"vXzZjkiQhkvGhPBQHKZwAMwKAHg":           -10.344513,
+		"vZ":                                    -10.344513,
+		"vZYgeYJJhHW":                           -10.344513,
+		"val":                                   -8.958218,
+		"validation":                            -10.344513,
+		"value":                                 -6.452693,
+		"value.":                                -9.245901,
+		"value=":                                -6.706927,
+		"valueName=":                            -10.344513,
+		"valueType=":                            -7.859606,
+		"values":                                -8.958218,
+		"varables":                              -10.344513,
+		"variable=":                             -4.688521,
+		"variant":                               -10.344513,
+		"variation":                             -10.344513,
+		"various":                               -9.651366,
+		"varius":                                -8.398603,
+		"vb":                                    -8.958218,
+		"vbproj":                                -9.245901,
+		"vbproj_sample":                         -10.344513,
+		"vbproj_sample.Module":                  -10.344513,
+		"vc":                                    -10.344513,
+		"vc/":                                   -10.344513,
+		"vcK":                                   -10.344513,
+		"vcxprojsample":                         -10.344513,
+		"veP":                                   -10.344513,
+		"veTEzXm":                               -10.344513,
+		"vector_rotate.gml":                     -10.344513,
+		"vendors":                               -10.344513,
+		"version":                               -8.398603,
+		"version=":                              -5.867176,
+		"versions":                              -9.245901,
+		"vertical":                              -7.636463,
+		"very":                                  -8.958218,
+		"vfFznwktzPqsavY":                       -10.344513,
+		"vfd":                                   -10.344513,
+		"vfiHRCyjB":                             -10.344513,
+		"vgmY":                                  -10.344513,
+		"vhkEq":                                 -10.344513,
+		"via":                                   -8.265071,
+		"view":                                  -9.245901,
+		"viewed":                                -10.344513,
+		"vinFLQX":                               -10.344513,
+		"virtue":                                -10.344513,
+		"visibility=":                           -9.651366,
+		"viverra":                               -7.859606,
+		"vjQBReWVkZGRsZGRlbWVkZOXY":             -10.344513,
+		"vjQBTePyV":                             -10.344513,
+		"vjQBjdPyU":                             -10.344513,
+		"vlm":                                   -10.344513,
+		"vmsD":                                  -10.344513,
+		"vn":                                    -10.344513,
+		"void*":                                 -9.651366,
+		"vols":                                  -8.958218,
+		"vote":                                  -9.651366,
+		"votes":                                 -9.651366,
+		"voting":                                -10.344513,
+		"voypwiHgurVx":                          -10.344513,
+		"vpCG":                                  -10.344513,
+		"vpREjLn":                               -10.344513,
+		"vqg":                                   -10.344513,
+		"vr":                                    -9.651366,
+		"vrUBSenKyMjI":                          -10.344513,
+		"vs.":                                   -10.344513,
+		"vsArRsRicf":                            -10.344513,
+		"vsmuestt":                              -10.344513,
+		"vss":                                   -10.344513,
+		"vstemplate":                            -10.344513,
+		"vsx":                                   -10.344513,
+		"vuoyvzmGMiOOz":                         -10.344513,
+		"vv":                                    -10.344513,
+		"vw":                                    -10.344513,
+		"vyLgwIGCgIAAEwMD":                      -10.344513,
+		"vydxC":                                 -10.344513,
+		"vzheDplJC":                             -10.344513,
+		"w":                                     -8.265071,
+		"w3":                                    -8.041928,
+		"wASqnIuKNk":                            -10.344513,
+		"wAr":                                   -10.344513,
+		"wBMXUFQeC":                             -10.344513,
+		"wDlGEwr":                               -10.344513,
+		"wGPIJOT":                               -10.344513,
+		"wHlq":                                  -10.344513,
+		"wJ/ZSrfUYvujCO":                        -10.344513,
+		"wL":                                    -10.344513,
+		"wLbjO":                                 -10.344513,
+		"wLhpRvLWN":                             -10.344513,
+		"wMyHwZuLyPqNiEdDgktJh":                 -10.344513,
+		"wNVjJjsNvLuLpXxIpX":                    -10.344513,
+		"wP/xAiRtD":                             -10.344513,
+		"wQdaKa":                                -10.344513,
+		"wR":                                    -10.344513,
+		"wSmTBDT":                               -10.344513,
+		"wV":                                    -10.344513,
+		"wWoVRVrgzoehU":                         -10.344513,
+		"wXmwjz":                                -10.344513,
+		"waUQPvZL":                              -10.344513,
+		"wait":                                  -9.245901,
+		"want":                                  -9.651366,
+		"warranties":                            -9.651366,
+		"was":                                   -8.552753,
+		"wav":                                   -10.344513,
+		"wavy":                                  -10.344513,
+		"wavyunderline":                         -10.344513,
+		"way":                                   -8.958218,
+		"way.":                                  -9.651366,
+		"ways":                                  -10.344513,
+		"wbIj/bkvASQnoLQIZTzzZTiFAjgDjHGOMey":   -10.344513,
+		"wbtdSCr":                               -10.344513,
+		"wcjoHkb":                               -10.344513,
+		"wcksN":                                 -10.344513,
+		"wdX":                                   -10.344513,
+		"we":                                    -9.245901,
+		"web":                                   -8.398603,
+		"webkit":                                -9.651366,
+		"webpage":                               -9.651366,
+		"weight":                                -7.636463,
+		"well":                                  -9.651366,
+		"weoXiCRUQ":                             -10.344513,
+		"were":                                  -10.344513,
+		"what":                                  -10.344513,
+		"when":                                  -6.655633,
+		"whenever":                              -7.454141,
+		"where":                                 -8.041928,
+		"whether":                               -9.651366,
+		"which":                                 -7.400074,
+		"who":                                   -9.651366,
+		"whom":                                  -10.344513,
+		"whose":                                 -8.398603,
+		"wide":                                  -9.651366,
+		"width":                                 -8.552753,
+		"width=":                                -6.355529,
+		"width_":                                -10.344513,
+		"will":                                  -5.975065,
+		"with":                                  -6.374221,
+		"withDelay":                             -9.651366,
+		"without":                               -9.651366,
+		"wkFxqMdK/qYrQJdEzVlJHIWYpyAkqnRovnaKkMWL": -10.344513,
+		"wmnu/":                         -10.344513,
+		"wn":                            -10.344513,
+		"wnrz":                          -10.344513,
+		"woodstack.png":                 -10.344513,
+		"word":                          -10.344513,
+		"work":                          -8.552753,
+		"working":                       -10.344513,
+		"works":                         -9.651366,
+		"would":                         -9.245901,
+		"wpa":                           -10.344513,
+		"wqJsqBJm":                      -10.344513,
+		"write":                         -9.651366,
+		"writing":                       -10.344513,
+		"www":                           -7.400074,
+		"www.flaticon.com":              -10.344513,
+		"wxGQ":                          -10.344513,
+		"wxLE":                          -10.344513,
+		"wyZk/WnQnrvJ":                  -10.344513,
+		"wyubKS":                        -10.344513,
+		"wzi":                           -10.344513,
+		"x":                             -7.125637,
+		"x.Foo.Bar.Baz":                 -10.344513,
+		"x.SomeProperty":                -10.344513,
+		"x86":                           -9.651366,
+		"x=":                            -8.735075,
+		"xBmNE":                         -10.344513,
+		"xD":                            -10.344513,
+		"xGWMbJUgLfsodkbX":              -10.344513,
+		"xGhLjKOYPiWT":                  -10.344513,
+		"xHyBC":                         -10.344513,
+		"xIIomuHUb":                     -10.344513,
+		"xISBEglOD":                     -10.344513,
+		"xJVS":                          -10.344513,
+		"xLMYEksMbfC/HuP":               -10.344513,
+		"xNUz":                          -10.344513,
+		"xNgu":                          -10.344513,
+		"xPzSg":                         -10.344513,
+		"xRMIPrgFxpHk":                  -10.344513,
+		"xSiCcE":                        -10.344513,
+		"xTS":                           -10.344513,
+		"xVr":                           -10.344513,
+		"xZ":                            -10.344513,
+		"xZ/":                           -10.344513,
+		"xZwEifOrBKU":                   -10.344513,
+		"x_":                            -10.344513,
+		"xeqPPgT":                       -10.344513,
+		"xg":                            -10.344513,
+		"xgvRzNqvLuaUQ":                 -10.344513,
+		"xiMlp":                         -10.344513,
+		"xj":                            -10.344513,
+		"xlQ":                           -10.344513,
+		"xldbWJQhHy":                    -10.344513,
+		"xml":                           -6.412687,
+		"xmlns":                         -7.048676,
+		"xmlns=":                        -6.473312,
+		"xnodpQZVmmKBq":                 -10.344513,
+		"xo":                            -10.344513,
+		"xq":                            -10.344513,
+		"xq/Wgox":                       -10.344513,
+		"xquery":                        -10.344513,
+		"xrHUo":                         -10.344513,
+		"xs":                            -8.735075,
+		"xsd":                           -8.735075,
+		"xsi":                           -8.958218,
+		"xsl":                           -9.651366,
+		"xslt":                          -9.245901,
+		"xspec":                         -10.344513,
+		"y":                             -7.779563,
+		"y=":                            -8.735075,
+		"yBOS":                          -10.344513,
+		"yBR":                           -10.344513,
+		"yD":                            -10.344513,
+		"yDfywLBX":                      -10.344513,
+		"yEDAJpH":                       -10.344513,
+		"yEomdRCKRtVUvVUsz":             -10.344513,
+		"yGYC":                          -10.344513,
+		"yHCCvg":                        -10.344513,
+		"yHX":                           -10.344513,
+		"yHezIeUfUZx":                   -10.344513,
+		"yHfvHFPtdiuZliL":               -10.344513,
+		"yI":                            -10.344513,
+		"yIzwQKVJgErfUmsakwnM":          -10.344513,
+		"yJ":                            -10.344513,
+		"yLg":                           -10.344513,
+		"yMbo":                          -10.344513,
+		"yNYO":                          -10.344513,
+		"yPI":                           -10.344513,
+		"yR":                            -10.344513,
+		"yRxC":                          -10.344513,
+		"yTIZ":                          -10.344513,
+		"yWRMvTUGcg":                    -10.344513,
+		"yWv":                           -10.344513,
+		"yXTlE":                         -10.344513,
+		"yZPga":                         -10.344513,
+		"yZjEUwInD":                     -10.344513,
+		"y_":                            -10.344513,
+		"yajiP":                         -10.344513,
+		"ycGd":                          -10.344513,
+		"ycMBoYaFgaGBhoGGoYaGgZN":       -10.344513,
+		"yczOTDKT":                      -10.344513,
+		"ydCZeUukbYtNWDnituXUrrwjcUZOB": -10.344513,
+		"ye":                            -10.344513,
+		"years":                         -9.651366,
+		"yfb":                           -10.344513,
+		"ylcC":                          -10.344513,
+		"ym":                            -10.344513,
+		"ymQrynjqSXeLQCOCG/bpR":         -10.344513,
+		"yoBcGAg":                       -10.344513,
+		"you":                           -7.086416,
+		"your":                          -7.400074,
+		"ypvKVMnI":                      -10.344513,
+		"yr":                            -10.344513,
+		"ysrURWYpFIJBKLRJJTVZsUQcKKTajZEAQhCBIqmrSCpAiSIhCfQFQgKk": -10.344513,
+		"yt":                       -10.344513,
+		"yufHKmkiiheTIUMnEk":       -10.344513,
+		"ywkAgRd":                  -10.344513,
+		"yx":                       -9.651366,
+		"yzo":                      -10.344513,
+		"z":                        -8.147288,
+		"zA":                       -10.344513,
+		"zC":                       -10.344513,
+		"zD":                       -10.344513,
+		"zIdGX":                    -10.344513,
+		"zIndex=":                  -10.344513,
+		"zLfjfXMXUipklk":           -10.344513,
+		"zLuxyR":                   -10.344513,
+		"zMV/":                     -10.344513,
+		"zQN":                      -10.344513,
+		"zQxmED":                   -10.344513,
+		"zUyM":                     -10.344513,
+		"zV":                       -10.344513,
+		"zVATM":                    -10.344513,
+		"zWlZb":                    -10.344513,
+		"zZPRfOJagN":               -10.344513,
+		"zaTX":                     -10.344513,
+		"zauhiEvMOy":               -10.344513,
+		"zcDuhpOynFWhwP":           -10.344513,
+		"zcUTqrSK":                 -10.344513,
+		"zdAcqI":                   -10.344513,
+		"zdbBVnXZDb":               -10.344513,
+		"zeaE":                     -10.344513,
+		"zfTnvfO/cMErAQxjpINkbUKd": -10.344513,
+		"zh":                       -10.344513,
+		"zi":                       -10.344513,
+		"zip":                      -10.344513,
+		"zkw":                      -10.344513,
+		"zl":                       -10.344513,
+		"zmJmgFn/":                 -10.344513,
+		"zmkIb":                    -10.344513,
+		"zn":                       -10.344513,
+		"zoTPLVy":                  -10.344513,
+		"zpC":                      -10.344513,
+		"zq":                       -10.344513,
+		"zqRfBsr":                  -10.344513,
+		"zstcuAu":                  -10.344513,
+		"zszOzL":                   -10.344513,
+		"zt":                       -10.344513,
+		"zvmeJKzcDAxRqoRSK":        -10.344513,
+		"zwh":                      -10.344513,
+		"zwr":                      -10.344513,
+		"zyBe":                     -10.344513,
+		"zytUAlydFzDXJeIGGBsgbByC": -10.344513,
+		"zyv":                      -10.344513,
+		"{":                        -6.319161,
+		"||":                       -9.245901,
+		"}":                        -6.319161,
+		"ê":                        -8.958218,
+		"ó":                        -9.651366,
+		"ö":                        -9.651366,
+		"ü":                        -9.651366,
+		"–":                        -8.958218,
+		"‘":                        -9.245901,
+		"’":                        -9.245901,
+		"“":                        -10.344513,
+		"”":                        -10.344513,
+		"⚊":                        -10.344513,
+		"\ufeff":                   -7.946618,
 	},
 	"XML Property List": map[string]float64{
 		"\x1b":                               -7.228388,
@@ -179814,4 +185939,4 @@ var TokensLogProbabilities = map[string]map[string]float64{
 	},
 }
 
-var TokensTotal = 1957806.000000
+var TokensTotal = 2017836.000000
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/groups.go b/vendor/github.com/go-enry/go-enry/v2/data/groups.go
index 6cba1b3598..abc1b8c491 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/groups.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/groups.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -8,7 +8,6 @@ var LanguagesGroup = map[string]string{
 	"Apollo Guidance Computer":       "Assembly",
 	"BibTeX":                         "TeX",
 	"Bison":                          "Yacc",
-	"Blade":                          "HTML",
 	"C2hs Haskell":                   "Haskell",
 	"Closure Templates":              "HTML",
 	"ColdFusion CFC":                 "ColdFusion",
@@ -19,6 +18,8 @@ var LanguagesGroup = map[string]string{
 	"Ecere Projects":                 "JavaScript",
 	"EditorConfig":                   "INI",
 	"Filterscript":                   "RenderScript",
+	"Fortran":                        "Fortran",
+	"Fortran Free Form":              "Fortran",
 	"Gentoo Ebuild":                  "Shell",
 	"Gentoo Eclass":                  "Shell",
 	"Git Attributes":                 "INI",
@@ -30,8 +31,6 @@ var LanguagesGroup = map[string]string{
 	"HTML+ERB":                       "HTML",
 	"HTML+PHP":                       "HTML",
 	"HTML+Razor":                     "HTML",
-	"Haml":                           "HTML",
-	"Handlebars":                     "HTML",
 	"Ignore List":                    "INI",
 	"Isabelle ROOT":                  "Isabelle",
 	"JFlex":                          "Lex",
@@ -41,15 +40,14 @@ var LanguagesGroup = map[string]string{
 	"JavaScript+ERB":                 "JavaScript",
 	"Jison":                          "Yacc",
 	"Jison Lex":                      "Lex",
-	"Latte":                          "HTML",
-	"Less":                           "CSS",
+	"Lark":                           "EBNF",
 	"Literate Agda":                  "Agda",
 	"Literate CoffeeScript":          "CoffeeScript",
 	"Literate Haskell":               "Haskell",
 	"M4Sugar":                        "M4",
 	"MUF":                            "Forth",
-	"Marko":                          "HTML",
 	"Motorola 68K Assembly":          "Assembly",
+	"Mustache":                       "HTML",
 	"NPM Config":                     "INI",
 	"NumPy":                          "Python",
 	"OpenCL":                         "C",
@@ -58,25 +56,16 @@ var LanguagesGroup = map[string]string{
 	"Parrot Internal Representation": "Parrot",
 	"Pic":                            "Roff",
 	"PostCSS":                        "CSS",
-	"Pug":                            "HTML",
 	"Python console":                 "Python",
 	"Python traceback":               "Python",
-	"RHTML":                          "HTML",
 	"Readline Config":                "INI",
 	"Roff Manpage":                   "Roff",
-	"SCSS":                           "CSS",
 	"SSH Config":                     "INI",
 	"STON":                           "Smalltalk",
-	"Sage":                           "Python",
-	"Sass":                           "CSS",
 	"Scaml":                          "HTML",
-	"Slim":                           "HTML",
-	"Stylus":                         "CSS",
 	"SugarSS":                        "CSS",
-	"Svelte":                         "HTML",
 	"TSX":                            "TypeScript",
 	"Tcsh":                           "Shell",
-	"Twig":                           "HTML",
 	"Unified Parallel C":             "C",
 	"Unix Assembly":                  "Assembly",
 	"Wget Config":                    "INI",
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/interpreter.go b/vendor/github.com/go-enry/go-enry/v2/data/interpreter.go
index 1d3a7b1582..52e3371727 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/interpreter.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/interpreter.go
@@ -1,9 +1,10 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
 var LanguagesByInterpreter = map[string][]string{
+	"M2":          {"Macaulay2"},
 	"Rscript":     {"R"},
 	"apl":         {"APL"},
 	"aplx":        {"APL"},
@@ -25,6 +26,7 @@ var LanguagesByInterpreter = map[string][]string{
 	"cvc4":        {"SMT"},
 	"cwl-runner":  {"Common Workflow Language"},
 	"d8":          {"JavaScript"},
+	"dafny":       {"Dafny"},
 	"dart":        {"Dart"},
 	"dash":        {"Shell"},
 	"deno":        {"TypeScript"},
@@ -70,8 +72,9 @@ var LanguagesByInterpreter = map[string][]string{
 	"newlisp":     {"NewLisp"},
 	"nextflow":    {"Nextflow"},
 	"node":        {"JavaScript"},
+	"nodejs":      {"JavaScript"},
 	"nush":        {"Nu"},
-	"ocaml":       {"OCaml", "Reason"},
+	"ocaml":       {"OCaml", "ReScript"},
 	"ocamlrun":    {"OCaml"},
 	"ocamlscript": {"OCaml"},
 	"openrc-run":  {"OpenRC runscript"},
@@ -103,7 +106,9 @@ var LanguagesByInterpreter = map[string][]string{
 	"rhino":       {"JavaScript"},
 	"ruby":        {"Ruby"},
 	"rune":        {"E"},
+	"runghc":      {"Haskell"},
 	"runhaskell":  {"Haskell"},
+	"runhugs":     {"Haskell"},
 	"sbcl":        {"Common Lisp"},
 	"scala":       {"Scala"},
 	"scheme":      {"Scheme"},
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/mimeType.go b/vendor/github.com/go-enry/go-enry/v2/data/mimeType.go
index fd56ed5c84..a3b7bb2198 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/mimeType.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/mimeType.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -7,7 +7,7 @@ var LanguagesMime = map[string]string{
 	"AGS Script":                     "text/x-c++src",
 	"APL":                            "text/apl",
 	"ASN.1":                          "text/x-ttcn-asn",
-	"ASP":                            "application/x-aspx",
+	"ASP.NET":                        "application/x-aspx",
 	"Alpine Abuild":                  "text/x-sh",
 	"AngelScript":                    "text/x-c++src",
 	"Ant Build System":               "application/xml",
@@ -61,6 +61,7 @@ var LanguagesMime = map[string]string{
 	"Factor":                         "text/x-factor",
 	"Forth":                          "text/x-forth",
 	"Fortran":                        "text/x-fortran",
+	"Fortran Free Form":              "text/x-fortran",
 	"GCC Machine Description":        "text/x-common-lisp",
 	"GN":                             "text/x-python",
 	"Game Maker Language":            "text/x-c++src",
@@ -105,12 +106,14 @@ var LanguagesMime = map[string]string{
 	"JavaScript+ERB":                 "application/javascript",
 	"Julia":                          "text/x-julia",
 	"Jupyter Notebook":               "application/json",
+	"Kaitai Struct":                  "text/x-yaml",
 	"KiCad Layout":                   "text/x-common-lisp",
 	"Kit":                            "text/html",
 	"Kotlin":                         "text/x-kotlin",
 	"LFE":                            "text/x-common-lisp",
 	"LTspice Symbol":                 "text/x-spreadsheet",
 	"LabVIEW":                        "text/xml",
+	"Lark":                           "text/x-ebnf",
 	"Latte":                          "text/x-smarty",
 	"Less":                           "text/css",
 	"Literate Haskell":               "text/x-literate-haskell",
@@ -130,7 +133,9 @@ var LanguagesMime = map[string]string{
 	"Metal":                          "text/x-c++src",
 	"Mirah":                          "text/x-ruby",
 	"Modelica":                       "text/x-modelica",
+	"Mustache":                       "text/x-smarty",
 	"NSIS":                           "text/x-nsis",
+	"NWScript":                       "text/x-csrc",
 	"NetLogo":                        "text/x-common-lisp",
 	"NewLisp":                        "text/x-common-lisp",
 	"Nginx":                          "text/x-nginx-conf",
@@ -156,12 +161,13 @@ var LanguagesMime = map[string]string{
 	"Puppet":                         "text/x-puppet",
 	"PureScript":                     "text/x-haskell",
 	"Python":                         "text/x-python",
+	"Qt Script":                      "text/javascript",
 	"R":                              "text/x-rsrc",
 	"RAML":                           "text/x-yaml",
-	"RHTML":                          "application/x-erb",
 	"RMarkdown":                      "text/x-gfm",
 	"RPM Spec":                       "text/x-rpm-spec",
 	"Raku":                           "text/x-perl",
+	"ReScript":                       "text/x-rustsrc",
 	"Reason":                         "text/x-rustsrc",
 	"Roff":                           "text/troff",
 	"Roff Manpage":                   "text/troff",
@@ -183,6 +189,7 @@ var LanguagesMime = map[string]string{
 	"Scheme":                         "text/x-scheme",
 	"Shell":                          "text/x-sh",
 	"ShellSession":                   "text/x-sh",
+	"Sieve":                          "application/sieve",
 	"Slim":                           "text/x-slim",
 	"Smalltalk":                      "text/x-stsrc",
 	"Smarty":                         "text/x-smarty",
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/type.go b/vendor/github.com/go-enry/go-enry/v2/data/type.go
index 2f26395d09..b4c6ce1bfe 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/type.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/type.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -9,12 +9,14 @@ var LanguagesType = map[string]int{
 	"ABAP":                               2,
 	"ABNF":                               1,
 	"AGS Script":                         2,
+	"AL":                                 2,
 	"AMPL":                               2,
 	"ANTLR":                              2,
 	"API Blueprint":                      3,
 	"APL":                                2,
+	"ASL":                                2,
 	"ASN.1":                              1,
-	"ASP":                                2,
+	"ASP.NET":                            2,
 	"ATS":                                2,
 	"ActionScript":                       2,
 	"Ada":                                2,
@@ -37,6 +39,7 @@ var LanguagesType = map[string]int{
 	"Augeas":                             2,
 	"AutoHotkey":                         2,
 	"AutoIt":                             2,
+	"Avro IDL":                           1,
 	"Awk":                                2,
 	"Ballerina":                          2,
 	"Batchfile":                          2,
@@ -73,6 +76,7 @@ var LanguagesType = map[string]int{
 	"ChucK":                              2,
 	"Cirru":                              2,
 	"Clarion":                            2,
+	"Classic ASP":                        2,
 	"Clean":                              2,
 	"Click":                              2,
 	"Clojure":                            2,
@@ -103,6 +107,7 @@ var LanguagesType = map[string]int{
 	"DM":                                 2,
 	"DNS Zone":                           1,
 	"DTrace":                             2,
+	"Dafny":                              2,
 	"Darcs Patch":                        1,
 	"Dart":                               2,
 	"DataWeave":                          2,
@@ -143,8 +148,10 @@ var LanguagesType = map[string]int{
 	"Formatted":                          1,
 	"Forth":                              2,
 	"Fortran":                            2,
+	"Fortran Free Form":                  2,
 	"FreeMarker":                         2,
 	"Frege":                              2,
+	"Futhark":                            2,
 	"G-code":                             2,
 	"GAML":                               2,
 	"GAMS":                               2,
@@ -152,6 +159,7 @@ var LanguagesType = map[string]int{
 	"GCC Machine Description":            2,
 	"GDB":                                2,
 	"GDScript":                           2,
+	"GEDCOM":                             1,
 	"GLSL":                               2,
 	"GN":                                 1,
 	"Game Maker Language":                2,
@@ -233,6 +241,7 @@ var LanguagesType = map[string]int{
 	"Julia":                              2,
 	"Jupyter Notebook":                   3,
 	"KRL":                                2,
+	"Kaitai Struct":                      2,
 	"KiCad Layout":                       1,
 	"KiCad Legacy Layout":                1,
 	"KiCad Schematic":                    1,
@@ -244,6 +253,7 @@ var LanguagesType = map[string]int{
 	"LSL":                                2,
 	"LTspice Symbol":                     1,
 	"LabVIEW":                            2,
+	"Lark":                               1,
 	"Lasso":                              2,
 	"Latte":                              3,
 	"Lean":                               2,
@@ -273,6 +283,7 @@ var LanguagesType = map[string]int{
 	"MQL5":                               2,
 	"MTML":                               3,
 	"MUF":                                2,
+	"Macaulay2":                          2,
 	"Makefile":                           2,
 	"Mako":                               2,
 	"Markdown":                           4,
@@ -297,12 +308,15 @@ var LanguagesType = map[string]int{
 	"MoonScript":                         2,
 	"Motorola 68K Assembly":              2,
 	"Muse":                               4,
+	"Mustache":                           3,
 	"Myghty":                             2,
 	"NASL":                               2,
 	"NCL":                                2,
+	"NEON":                               1,
 	"NL":                                 1,
 	"NPM Config":                         1,
 	"NSIS":                               2,
+	"NWScript":                           2,
 	"Nearley":                            2,
 	"Nemerle":                            2,
 	"NetLinx":                            2,
@@ -383,15 +397,16 @@ var LanguagesType = map[string]int{
 	"Python":                             2,
 	"Python console":                     2,
 	"Python traceback":                   1,
+	"Q#":                                 2,
 	"QML":                                2,
 	"QMake":                              2,
+	"Qt Script":                          2,
 	"Quake":                              2,
 	"R":                                  2,
 	"RAML":                               3,
 	"RDoc":                               4,
 	"REALbasic":                          2,
 	"REXX":                               2,
-	"RHTML":                              3,
 	"RMarkdown":                          4,
 	"RPC":                                2,
 	"RPM Spec":                           1,
@@ -401,6 +416,7 @@ var LanguagesType = map[string]int{
 	"Raku":                               2,
 	"Rascal":                             2,
 	"Raw token data":                     1,
+	"ReScript":                           2,
 	"Readline Config":                    1,
 	"Reason":                             2,
 	"Rebol":                              2,
@@ -442,6 +458,7 @@ var LanguagesType = map[string]int{
 	"Shell":                              2,
 	"ShellSession":                       2,
 	"Shen":                               2,
+	"Sieve":                              2,
 	"Slash":                              2,
 	"Slice":                              2,
 	"Slim":                               3,
@@ -468,6 +485,7 @@ var LanguagesType = map[string]int{
 	"TLA":                                2,
 	"TOML":                               1,
 	"TSQL":                               2,
+	"TSV":                                1,
 	"TSX":                                2,
 	"TXL":                                2,
 	"Tcl":                                2,
@@ -497,6 +515,7 @@ var LanguagesType = map[string]int{
 	"VHDL":                               2,
 	"Vala":                               2,
 	"Verilog":                            2,
+	"Vim Help File":                      4,
 	"Vim Snippet":                        3,
 	"Vim script":                         2,
 	"Visual Basic .NET":                  2,
diff --git a/vendor/github.com/go-enry/go-enry/v2/data/vendor.go b/vendor/github.com/go-enry/go-enry/v2/data/vendor.go
index 82e88803b6..73dfa80521 100644
--- a/vendor/github.com/go-enry/go-enry/v2/data/vendor.go
+++ b/vendor/github.com/go-enry/go-enry/v2/data/vendor.go
@@ -1,5 +1,5 @@
 // Code generated by github.com/go-enry/go-enry/v2/internal/code-generator DO NOT EDIT.
-// Extracted from github/linguist commit: 40992ba7f86889f80dfed3ba95e11e1082200bad
+// Extracted from github/linguist commit: 223c00bb80eff04788e29010f98c5778993d2b2a
 
 package data
 
@@ -11,25 +11,29 @@ var VendorMatchers = []regex.EnryRegexp{
 	regex.MustCompile(`(^|/)dist/`),
 	regex.MustCompile(`^deps/`),
 	regex.MustCompile(`(^|/)configure$`),
-	regex.MustCompile(`(^|/)config.guess$`),
-	regex.MustCompile(`(^|/)config.sub$`),
-	regex.MustCompile(`(^|/)aclocal.m4`),
-	regex.MustCompile(`(^|/)libtool.m4`),
-	regex.MustCompile(`(^|/)ltoptions.m4`),
-	regex.MustCompile(`(^|/)ltsugar.m4`),
-	regex.MustCompile(`(^|/)ltversion.m4`),
-	regex.MustCompile(`(^|/)lt~obsolete.m4`),
-	regex.MustCompile(`dotnet-install\.(ps1|sh)$`),
-	regex.MustCompile(`cpplint.py`),
-	regex.MustCompile(`node_modules/`),
+	regex.MustCompile(`(^|/)config\.guess$`),
+	regex.MustCompile(`(^|/)config\.sub$`),
+	regex.MustCompile(`(^|/)aclocal\.m4`),
+	regex.MustCompile(`(^|/)libtool\.m4`),
+	regex.MustCompile(`(^|/)ltoptions\.m4`),
+	regex.MustCompile(`(^|/)ltsugar\.m4`),
+	regex.MustCompile(`(^|/)ltversion\.m4`),
+	regex.MustCompile(`(^|/)lt~obsolete\.m4`),
+	regex.MustCompile(`(^|/)dotnet-install\.(ps1|sh)$`),
+	regex.MustCompile(`(^|/)cpplint\.py`),
+	regex.MustCompile(`(^|/)node_modules/`),
 	regex.MustCompile(`(^|/)\.yarn/releases/`),
+	regex.MustCompile(`(^|/)\.yarn/plugins/`),
+	regex.MustCompile(`(^|/)\.yarn/sdks/`),
+	regex.MustCompile(`(^|/)\.yarn/versions/`),
+	regex.MustCompile(`(^|/)\.yarn/unplugged/`),
 	regex.MustCompile(`(^|/)_esy$`),
-	regex.MustCompile(`bower_components/`),
+	regex.MustCompile(`(^|/)bower_components/`),
 	regex.MustCompile(`^rebar$`),
-	regex.MustCompile(`erlang.mk`),
-	regex.MustCompile(`Godeps/_workspace/`),
+	regex.MustCompile(`(^|/)erlang\.mk`),
+	regex.MustCompile(`(^|/)Godeps/_workspace/`),
 	regex.MustCompile(`(^|/)testdata/`),
-	regex.MustCompile(`.indent.pro`),
+	regex.MustCompile(`(^|/)\.indent\.pro`),
 	regex.MustCompile(`(\.|-)min\.(js|css)$`),
 	regex.MustCompile(`([^\s]*)import\.(css|less|scss|styl)$`),
 	regex.MustCompile(`(^|/)bootstrap([^.]*)\.(js|css|less|scss|styl)$`),
@@ -45,34 +49,34 @@ var VendorMatchers = []regex.EnryRegexp{
 	regex.MustCompile(`(^|/)select2/.*\.(css|scss|js)$`),
 	regex.MustCompile(`(^|/)bulma\.(css|sass|scss)$`),
 	regex.MustCompile(`(3rd|[Tt]hird)[-_]?[Pp]arty/`),
-	regex.MustCompile(`vendors?/`),
-	regex.MustCompile(`extern(al)?/`),
+	regex.MustCompile(`(^|/)vendors?/`),
+	regex.MustCompile(`(^|/)extern(al)?/`),
 	regex.MustCompile(`(^|/)[Vv]+endor/`),
 	regex.MustCompile(`^debian/`),
-	regex.MustCompile(`run.n$`),
-	regex.MustCompile(`bootstrap-datepicker/`),
+	regex.MustCompile(`(^|/)run\.n$`),
+	regex.MustCompile(`(^|/)bootstrap-datepicker/`),
 	regex.MustCompile(`(^|/)jquery([^.]*)\.js$`),
 	regex.MustCompile(`(^|/)jquery\-\d\.\d+(\.\d+)?\.js$`),
 	regex.MustCompile(`(^|/)jquery\-ui(\-\d\.\d+(\.\d+)?)?(\.\w+)?\.(js|css)$`),
 	regex.MustCompile(`(^|/)jquery\.(ui|effects)\.([^.]*)\.(js|css)$`),
-	regex.MustCompile(`jquery.fn.gantt.js`),
-	regex.MustCompile(`jquery.fancybox.(js|css)`),
-	regex.MustCompile(`fuelux.js`),
+	regex.MustCompile(`(^|/)jquery\.fn\.gantt\.js`),
+	regex.MustCompile(`(^|/)jquery\.fancybox\.(js|css)`),
+	regex.MustCompile(`(^|/)fuelux\.js`),
 	regex.MustCompile(`(^|/)jquery\.fileupload(-\w+)?\.js$`),
-	regex.MustCompile(`jquery.dataTables.js`),
-	regex.MustCompile(`bootbox.js`),
-	regex.MustCompile(`pdf.worker.js`),
+	regex.MustCompile(`(^|/)jquery\.dataTables\.js`),
+	regex.MustCompile(`(^|/)bootbox\.js`),
+	regex.MustCompile(`(^|/)pdf\.worker\.js`),
 	regex.MustCompile(`(^|/)slick\.\w+.js$`),
 	regex.MustCompile(`(^|/)Leaflet\.Coordinates-\d+\.\d+\.\d+\.src\.js$`),
-	regex.MustCompile(`leaflet.draw-src.js`),
-	regex.MustCompile(`leaflet.draw.css`),
-	regex.MustCompile(`Control.FullScreen.css`),
-	regex.MustCompile(`Control.FullScreen.js`),
-	regex.MustCompile(`leaflet.spin.js`),
-	regex.MustCompile(`wicket-leaflet.js`),
-	regex.MustCompile(`.sublime-project`),
-	regex.MustCompile(`.sublime-workspace`),
-	regex.MustCompile(`.vscode`),
+	regex.MustCompile(`(^|/)leaflet\.draw-src\.js`),
+	regex.MustCompile(`(^|/)leaflet\.draw\.css`),
+	regex.MustCompile(`(^|/)Control\.FullScreen\.css`),
+	regex.MustCompile(`(^|/)Control\.FullScreen\.js`),
+	regex.MustCompile(`(^|/)leaflet\.spin\.js`),
+	regex.MustCompile(`(^|/)wicket-leaflet\.js`),
+	regex.MustCompile(`(^|/)\.sublime-project`),
+	regex.MustCompile(`(^|/)\.sublime-workspace`),
+	regex.MustCompile(`(^|/)\.vscode/`),
 	regex.MustCompile(`(^|/)prototype(.*)\.js$`),
 	regex.MustCompile(`(^|/)effects\.js$`),
 	regex.MustCompile(`(^|/)controls\.js$`),
@@ -104,21 +108,21 @@ var VendorMatchers = []regex.EnryRegexp{
 	regex.MustCompile(`(^|/)docs?/_?(build|themes?|templates?|static)/`),
 	regex.MustCompile(`(^|/)admin_media/`),
 	regex.MustCompile(`(^|/)env/`),
-	regex.MustCompile(`^fabfile\.py$`),
-	regex.MustCompile(`^waf$`),
-	regex.MustCompile(`^.osx$`),
+	regex.MustCompile(`(^|/)fabfile\.py$`),
+	regex.MustCompile(`(^|/)waf$`),
+	regex.MustCompile(`(^|/)\.osx$`),
 	regex.MustCompile(`\.xctemplate/`),
 	regex.MustCompile(`\.imageset/`),
 	regex.MustCompile(`(^|/)Carthage/`),
 	regex.MustCompile(`(^|/)Sparkle/`),
-	regex.MustCompile(`Crashlytics.framework/`),
-	regex.MustCompile(`Fabric.framework/`),
-	regex.MustCompile(`BuddyBuildSDK.framework/`),
-	regex.MustCompile(`Realm.framework`),
-	regex.MustCompile(`RealmSwift.framework`),
-	regex.MustCompile(`gitattributes$`),
-	regex.MustCompile(`gitignore$`),
-	regex.MustCompile(`gitmodules$`),
+	regex.MustCompile(`(^|/)Crashlytics\.framework/`),
+	regex.MustCompile(`(^|/)Fabric\.framework/`),
+	regex.MustCompile(`(^|/)BuddyBuildSDK\.framework/`),
+	regex.MustCompile(`(^|/)Realm\.framework`),
+	regex.MustCompile(`(^|/)RealmSwift\.framework`),
+	regex.MustCompile(`(^|/)\.gitattributes$`),
+	regex.MustCompile(`(^|/)\.gitignore$`),
+	regex.MustCompile(`(^|/)\.gitmodules$`),
 	regex.MustCompile(`(^|/)gradlew$`),
 	regex.MustCompile(`(^|/)gradlew\.bat$`),
 	regex.MustCompile(`(^|/)gradle/wrapper/`),
@@ -130,13 +134,13 @@ var VendorMatchers = []regex.EnryRegexp{
 	regex.MustCompile(`(^|/)jquery([^.]*)\.validate(\.unobtrusive)?\.js$`),
 	regex.MustCompile(`(^|/)jquery([^.]*)\.unobtrusive\-ajax\.js$`),
 	regex.MustCompile(`(^|/)[Mm]icrosoft([Mm]vc)?([Aa]jax|[Vv]alidation)(\.debug)?\.js$`),
-	regex.MustCompile(`^[Pp]ackages\/.+\.\d+\/`),
+	regex.MustCompile(`(^|/)[Pp]ackages\/.+\.\d+\/`),
 	regex.MustCompile(`(^|/)extjs/.*?\.js$`),
 	regex.MustCompile(`(^|/)extjs/.*?\.xml$`),
 	regex.MustCompile(`(^|/)extjs/.*?\.txt$`),
 	regex.MustCompile(`(^|/)extjs/.*?\.html$`),
 	regex.MustCompile(`(^|/)extjs/.*?\.properties$`),
-	regex.MustCompile(`(^|/)extjs/.sencha/`),
+	regex.MustCompile(`(^|/)extjs/\.sencha/`),
 	regex.MustCompile(`(^|/)extjs/docs/`),
 	regex.MustCompile(`(^|/)extjs/builds/`),
 	regex.MustCompile(`(^|/)extjs/cmd/`),
@@ -148,22 +152,22 @@ var VendorMatchers = []regex.EnryRegexp{
 	regex.MustCompile(`(^|/)extjs/src/`),
 	regex.MustCompile(`(^|/)extjs/welcome/`),
 	regex.MustCompile(`(^|/)html5shiv\.js$`),
-	regex.MustCompile(`^[Tt]ests?/fixtures/`),
-	regex.MustCompile(`^[Ss]pecs?/fixtures/`),
+	regex.MustCompile(`(^|/)[Tt]ests?/fixtures/`),
+	regex.MustCompile(`(^|/)[Ss]pecs?/fixtures/`),
 	regex.MustCompile(`(^|/)cordova([^.]*)\.js$`),
 	regex.MustCompile(`(^|/)cordova\-\d\.\d(\.\d)?\.js$`),
-	regex.MustCompile(`foundation(\..*)?\.js$`),
-	regex.MustCompile(`^Vagrantfile$`),
-	regex.MustCompile(`.[Dd][Ss]_[Ss]tore$`),
-	regex.MustCompile(`^vignettes/`),
-	regex.MustCompile(`^inst/extdata/`),
-	regex.MustCompile(`octicons.css`),
-	regex.MustCompile(`sprockets-octicons.scss`),
+	regex.MustCompile(`(^|/)foundation(\..*)?\.js$`),
+	regex.MustCompile(`(^|/)Vagrantfile$`),
+	regex.MustCompile(`(^|/)\.[Dd][Ss]_[Ss]tore$`),
+	regex.MustCompile(`(^|/)vignettes/`),
+	regex.MustCompile(`(^|/)inst/extdata/`),
+	regex.MustCompile(`(^|/)octicons\.css`),
+	regex.MustCompile(`(^|/)sprockets-octicons\.scss`),
 	regex.MustCompile(`(^|/)activator$`),
 	regex.MustCompile(`(^|/)activator\.bat$`),
-	regex.MustCompile(`proguard.pro`),
-	regex.MustCompile(`proguard-rules.pro`),
-	regex.MustCompile(`^puphpet/`),
+	regex.MustCompile(`(^|/)proguard\.pro$`),
+	regex.MustCompile(`(^|/)proguard-rules\.pro$`),
+	regex.MustCompile(`(^|/)puphpet/`),
 	regex.MustCompile(`(^|/)\.google_apis/`),
-	regex.MustCompile(`^Jenkinsfile$`),
+	regex.MustCompile(`(^|/)Jenkinsfile$`),
 }
diff --git a/vendor/modules.txt b/vendor/modules.txt
index b3589d5f7b..d79743b552 100644
--- a/vendor/modules.txt
+++ b/vendor/modules.txt
@@ -273,7 +273,7 @@ github.com/go-asn1-ber/asn1-ber
 ## explicit
 github.com/go-chi/chi
 github.com/go-chi/chi/middleware
-# github.com/go-enry/go-enry/v2 v2.5.2
+# github.com/go-enry/go-enry/v2 v2.6.0
 ## explicit
 github.com/go-enry/go-enry/v2
 github.com/go-enry/go-enry/v2/data